Add missing headers to the AsyncArt HDL libraries

parent 5d6d2643
This diff is collapsed.
//-----------------------------------------------------------------------------
// Title : AsyncArt micropipeline demo
// Project : AsyncArt
// URL : https://www.ohwr.org/project/asyncart
//-----------------------------------------------------------------------------
// File : asyncart_demo.v
// Author(s) : Javier Garcia Lasheras <jgarcia@gl-research.com>
// Company : GL Research Corporation SLU
//-----------------------------------------------------------------------------
// Description: Demonstrative Verilog demo for variable depth micropipelines.
//-----------------------------------------------------------------------------
// Copyright (c) 2006-2019 GL Research Corporation SLU
//-----------------------------------------------------------------------------
// GNU LESSER GENERAL PUBLIC LICENSE
//-----------------------------------------------------------------------------
// This source file is free software; you can redistribute it and/or modify it
// under the terms of the GNU Lesser General Public License as published by the
// Free Software Foundation; either version 2.1 of the License, or (at your
// option) any later version. This source is distributed in the hope that it
// will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
// of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
// See the GNU Lesser General Public License for more details. You should have
// received a copy of the GNU Lesser General Public License along with this
// source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
//-----------------------------------------------------------------------------
`include "asyncart_source.v"
`include "asyncart_sink.v"
......
//-----------------------------------------------------------------------------
// Title : AsyncArt register rendezvous cell
// Project : AsyncArt
// URL : https://www.ohwr.org/project/asyncart
//-----------------------------------------------------------------------------
// File : asyncart_reg.v
// Author(s) : Javier Garcia Lasheras <jgarcia@gl-research.com>
// Company : GL Research Corporation SLU
//-----------------------------------------------------------------------------
// Description: Rendezvous cell for implementing micropipeline register stages.
//-----------------------------------------------------------------------------
// Copyright (c) 2006-2019 GL Research Corporation SLU
//-----------------------------------------------------------------------------
// GNU LESSER GENERAL PUBLIC LICENSE
//-----------------------------------------------------------------------------
// This source file is free software; you can redistribute it and/or modify it
// under the terms of the GNU Lesser General Public License as published by the
// Free Software Foundation; either version 2.1 of the License, or (at your
// option) any later version. This source is distributed in the hope that it
// will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
// of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
// See the GNU Lesser General Public License for more details. You should have
// received a copy of the GNU Lesser General Public License along with this
// source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
//-----------------------------------------------------------------------------
module asyncart_reg (
output fire_out,
output phase_out,
......
//-----------------------------------------------------------------------------
// Title : AsyncArt sink rendezvous cell
// Project : AsyncArt
// URL : https://www.ohwr.org/project/asyncart
//-----------------------------------------------------------------------------
// File : asyncart_sink.v
// Author(s) : Javier Garcia Lasheras <jgarcia@gl-research.com>
// Company : GL Research Corporation SLU
//-----------------------------------------------------------------------------
// Description: Rendezvous cell for implementing micropipeline sink stages.
//-----------------------------------------------------------------------------
// Copyright (c) 2006-2019 GL Research Corporation SLU
//-----------------------------------------------------------------------------
// GNU LESSER GENERAL PUBLIC LICENSE
//-----------------------------------------------------------------------------
// This source file is free software; you can redistribute it and/or modify it
// under the terms of the GNU Lesser General Public License as published by the
// Free Software Foundation; either version 2.1 of the License, or (at your
// option) any later version. This source is distributed in the hope that it
// will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
// of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
// See the GNU Lesser General Public License for more details. You should have
// received a copy of the GNU Lesser General Public License along with this
// source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
//-----------------------------------------------------------------------------
module asyncart_sink (
output fire_out,
output phase_out,
......
//-----------------------------------------------------------------------------
// Title : AsyncArt source rendezvous cell
// Project : AsyncArt
// URL : https://www.ohwr.org/project/asyncart
//-----------------------------------------------------------------------------
// File : asyncart_source.v
// Author(s) : Javier Garcia Lasheras <jgarcia@gl-research.com>
// Company : GL Research Corporation SLU
//-----------------------------------------------------------------------------
// Description: Rendezvous cell for implementing micropipeline source stages.
//-----------------------------------------------------------------------------
// Copyright (c) 2006-2019 GL Research Corporation SLU
//-----------------------------------------------------------------------------
// GNU LESSER GENERAL PUBLIC LICENSE
//-----------------------------------------------------------------------------
// This source file is free software; you can redistribute it and/or modify it
// under the terms of the GNU Lesser General Public License as published by the
// Free Software Foundation; either version 2.1 of the License, or (at your
// option) any later version. This source is distributed in the hope that it
// will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
// of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
// See the GNU Lesser General Public License for more details. You should have
// received a copy of the GNU Lesser General Public License along with this
// source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
//-----------------------------------------------------------------------------
module asyncart_source (
output fire_out,
output phase_out,
......
-------------------------------------------------------------------------------
-- Title : AsyncArt micropipeline demo
-- Project : AsyncArt
-- URL : https://www.ohwr.org/project/asyncart
-------------------------------------------------------------------------------
-- File : asyncart_demo.vhd
-- Author(s) : Javier Garcia Lasheras <jgarcia@gl-research.com>
-- Company : GL Research Corporation SLU
-------------------------------------------------------------------------------
-- Description: Demonstrative VHDL demo for variable depth micropipelines.
-------------------------------------------------------------------------------
-- Copyright (c) 2006-2019 GL Research Corporation SLU
-------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
-------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
......
-------------------------------------------------------------------------------
-- Title : AsyncArt register rendezvous cell
-- Project : AsyncArt
-- URL : https://www.ohwr.org/project/asyncart
-------------------------------------------------------------------------------
-- File : asyncart_reg.vhd
-- Author(s) : Javier Garcia Lasheras <jgarcia@gl-research.com>
-- Company : GL Research Corporation SLU
-------------------------------------------------------------------------------
-- Description: Rendezvous cell for implementing micropipeline register stages.
-------------------------------------------------------------------------------
-- Copyright (c) 2006-2019 GL Research Corporation SLU
-------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
-------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
......
-------------------------------------------------------------------------------
-- Title : AsyncArt sink rendezvous cell
-- Project : AsyncArt
-- URL : https://www.ohwr.org/project/asyncart
-------------------------------------------------------------------------------
-- File : asyncart_sink.vhd
-- Author(s) : Javier Garcia Lasheras <jgarcia@gl-research.com>
-- Company : GL Research Corporation SLU
-------------------------------------------------------------------------------
-- Description: Rendezvous cell for implementing micropipeline sink stages.
-------------------------------------------------------------------------------
-- Copyright (c) 2006-2019 GL Research Corporation SLU
-------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
-------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
......
-------------------------------------------------------------------------------
-- Title : AsyncArt source rendezvous cell
-- Project : AsyncArt
-- URL : https://www.ohwr.org/project/asyncart
-------------------------------------------------------------------------------
-- File : asyncart_source.vhd
-- Author(s) : Javier Garcia Lasheras <jgarcia@gl-research.com>
-- Company : GL Research Corporation SLU
-------------------------------------------------------------------------------
-- Description: Rendezvous cell for implementing micropipeline source stages.
-------------------------------------------------------------------------------
-- Copyright (c) 2006-2019 GL Research Corporation SLU
-------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
-------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment