Commit 2fe9e3a8 authored by Lucas Russo's avatar Lucas Russo

Merge branch 'devel'

parents 3150cb55 bb94ff6e
Subproject commit 96dc9714b14e015853f35c504b927b0e3e322db8 Subproject commit d8ddce38be9fa14fe486f8273985782ecd9b7427
target = "xilinx"
action = "synthesis"
syn_device = "xc7a200t"
syn_grade = "-2"
syn_package = "ffg1156"
syn_top = "dbe_bpm2"
syn_project = "dbe_bpm2"
syn_tool = "vivado"
syn_properties = [
["steps.synth_design.args.more options", "-verbose"],
["steps.synth_design.args.retiming", "1"],
["steps.synth_design.args.assert", "1"],
["steps.opt_design.args.verbose", "1"],
["steps.opt_design.args.directive", "Explore"],
["steps.opt_design.is_enabled", "1"],
["steps.place_design.args.directive", "Explore"],
["steps.place_design.args.more options", "-verbose"],
["steps.phys_opt_design.args.directive", "AlternateFlowWithRetiming"],
["steps.phys_opt_design.args.more options", "-verbose"],
["steps.phys_opt_design.is_enabled", "1"],
["steps.route_design.args.directive", "Explore"],
["steps.route_design.args.more options", "-verbose"],
["steps.post_route_phys_opt_design.args.directive", "AddRetime"],
["steps.post_route_phys_opt_design.args.more options", "-verbose"],
["steps.post_route_phys_opt_design.is_enabled", "1"],
["steps.write_bitstream.args.verbose", "1"]]
import os
import sys
if os.path.isfile("synthesis_descriptor_pkg.vhd"):
files = ["synthesis_descriptor_pkg.vhd"];
else:
sys.exit("Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)")
machine_pkg = "sirius_bo_250M";
modules = { "local" : [ "../../../../top/afc_v3/vivado/dbe_bpm2" ] };
#!/bin/bash
# Exit on error
set -e
# Check for uninitialized variables
set -u
# Maximum of 16 chars
SYNTH_INFO_PROJECT="bpm-gw-bo-sirius"
SYNTH_INFO_TOOL="VIVADO"
SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2)
SYNTH_INFO_COMMAND="../../../gen_sdbsyn.py --project ${SYNTH_INFO_PROJECT} --tool ${SYNTH_INFO_TOOL} --ver ${SYNTH_INFO_VER}"
# Generate synthesis file
echo $SYNTH_INFO_COMMAND
eval $SYNTH_INFO_COMMAND
target = "xilinx"
action = "synthesis"
syn_device = "xc7a200t"
syn_grade = "-2"
syn_package = "ffg1156"
syn_top = "dbe_bpm2"
syn_project = "dbe_bpm2"
syn_tool = "vivado"
syn_properties = [
["steps.synth_design.args.more options", "-verbose"],
["steps.synth_design.args.retiming", "1"],
["steps.synth_design.args.assert", "1"],
["steps.opt_design.args.verbose", "1"],
["steps.opt_design.args.directive", "Explore"],
["steps.opt_design.is_enabled", "1"],
["steps.place_design.args.directive", "Explore"],
["steps.place_design.args.more options", "-verbose"],
["steps.phys_opt_design.args.directive", "AlternateFlowWithRetiming"],
["steps.phys_opt_design.args.more options", "-verbose"],
["steps.phys_opt_design.is_enabled", "1"],
["steps.route_design.args.directive", "Explore"],
["steps.route_design.args.more options", "-verbose"],
["steps.post_route_phys_opt_design.args.directive", "AddRetime"],
["steps.post_route_phys_opt_design.args.more options", "-verbose"],
["steps.post_route_phys_opt_design.is_enabled", "1"],
["steps.write_bitstream.args.verbose", "1"]]
import os
import sys
if os.path.isfile("synthesis_descriptor_pkg.vhd"):
files = ["synthesis_descriptor_pkg.vhd"];
else:
sys.exit("Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)")
machine_pkg = "sirius_sr_250M";
modules = { "local" : [ "../../../../top/afc_v3/vivado/dbe_bpm2" ] };
#!/bin/bash
# Exit on error
set -e
# Check for uninitialized variables
set -u
# Maximum of 16 chars
SYNTH_INFO_PROJECT="bpm-gw-sr-sirius"
SYNTH_INFO_TOOL="VIVADO"
SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2)
SYNTH_INFO_COMMAND="../../../gen_sdbsyn.py --project ${SYNTH_INFO_PROJECT} --tool ${SYNTH_INFO_TOOL} --ver ${SYNTH_INFO_VER}"
# Generate synthesis file
echo $SYNTH_INFO_COMMAND
eval $SYNTH_INFO_COMMAND
...@@ -33,6 +33,6 @@ if os.path.isfile("synthesis_descriptor_pkg.vhd"): ...@@ -33,6 +33,6 @@ if os.path.isfile("synthesis_descriptor_pkg.vhd"):
else: else:
sys.exit("Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)") sys.exit("Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)")
machine_pkg = "uvx_250M"; machine_pkg = "uvx_sr_250M";
modules = { "local" : [ "../../../../top/afc_v3/vivado/dbe_bpm2" ] }; modules = { "local" : [ "../../../../top/afc_v3/vivado/dbe_bpm2" ] };
#!/bin/bash
# Exit on error
set -e
# Check for uninitialized variables
set -u
COMMAND="(./build_synthesis_sdb.sh; hdlmake; time make; date) 2>&1 | tee make_output &"
echo $COMMAND
eval $COMMAND
#!/bin/bash
# Exit on error
set -e
# Check for uninitialized variables
set -u
COMMAND="(hdlmake; make cleanremote; time make remote; make sync; date) 2>&1 | tee make_output &"
echo $COMMAND
eval $COMMAND
...@@ -5,7 +5,8 @@ set -e ...@@ -5,7 +5,8 @@ set -e
# Check for uninitialized variables # Check for uninitialized variables
set -u set -u
SYNTH_INFO_PROJECT="bpm-gw" # Maximum of 16 chars
SYNTH_INFO_PROJECT="bpm-gw-sr-uvx"
SYNTH_INFO_TOOL="VIVADO" SYNTH_INFO_TOOL="VIVADO"
SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2) SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2)
......
-- This file will be overwritten prior to synthesis,
-- by hdlmake "syn_pre_cmd" specified on top Manifest.py.
--
-- However, hdlmake requires all files to be present
-- on parsing-time. So, fool the tool with this dummy
-- file so we can bypass this requirement.
...@@ -33,6 +33,6 @@ if os.path.isfile("synthesis_descriptor_pkg.vhd"): ...@@ -33,6 +33,6 @@ if os.path.isfile("synthesis_descriptor_pkg.vhd"):
else: else:
sys.exit("Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)") sys.exit("Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)")
machine_pkg = "uvx_130M" machine_pkg = "uvx_sr_130M"
modules = { "local" : [ "../../../../top/afc_v3/vivado/dbe_bpm" ] }; modules = { "local" : [ "../../../../top/afc_v3/vivado/dbe_bpm" ] };
#!/bin/bash
# Exit on error
set -e
# Check for uninitialized variables
set -u
COMMAND="(./build_synthesis_sdb.sh; hdlmake; time make; date) 2>&1 | tee make_output &"
echo $COMMAND
eval $COMMAND
#!/bin/bash
# Exit on error
set -e
# Check for uninitialized variables
set -u
COMMAND="(hdlmake; make cleanremote; time make remote; make sync; date) 2>&1 | tee make_output &"
echo $COMMAND
eval $COMMAND
...@@ -5,7 +5,8 @@ set -e ...@@ -5,7 +5,8 @@ set -e
# Check for uninitialized variables # Check for uninitialized variables
set -u set -u
SYNTH_INFO_PROJECT="bpm-gw" # Maximum of 16 chars
SYNTH_INFO_PROJECT="bpm-gw-sr-uvx"
SYNTH_INFO_TOOL="VIVADO" SYNTH_INFO_TOOL="VIVADO"
SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2) SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2)
......
-- This file will be overwritten prior to synthesis,
-- by hdlmake "syn_pre_cmd" specified on top Manifest.py.
--
-- However, hdlmake requires all files to be present
-- on parsing-time. So, fool the tool with this dummy
-- file so we can bypass this requirement.
...@@ -5,7 +5,7 @@ set -e ...@@ -5,7 +5,7 @@ set -e
# Check for uninitialized variables # Check for uninitialized variables
set -u set -u
SYNTH_INFO_PROJECT="bpm-pbpm" SYNTH_INFO_PROJECT="pbpm-gw"
SYNTH_INFO_TOOL="VIVADO" SYNTH_INFO_TOOL="VIVADO"
SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2) SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment