Commit 3a93af6c authored by Lucas Russo's avatar Lucas Russo

modules/*/wb_fmc250m_4ch: remove commented out lines

parent c5483d49
...@@ -530,9 +530,9 @@ architecture rtl of wb_fmc250m_4ch is ...@@ -530,9 +530,9 @@ architecture rtl of wb_fmc250m_4ch is
end component; end component;
begin begin
-- Reset signals and sychronization with positive edge of -- Reset signals and sychronization with positive edge of
-- respective clock -- respective clock
--sys_rst_n <= sys_rst_n_i and mmcm_adc_locked;
sys_rst_n <= sys_rst_n_i; sys_rst_n <= sys_rst_n_i;
fs_rst_n <= sys_rst_n and mmcm_adc_locked; fs_rst_n <= sys_rst_n and mmcm_adc_locked;
...@@ -545,9 +545,6 @@ begin ...@@ -545,9 +545,6 @@ begin
rst_n_o => sys_rst_sync_n rst_n_o => sys_rst_sync_n
); );
--sys_rst_sync_n <= sys_rst_n;
-- Reset synchronization with FS clock domain (just clock 1 -- Reset synchronization with FS clock domain (just clock 1
-- is used for now). Align the reset deassertion to the next -- is used for now). Align the reset deassertion to the next
-- clock edge -- clock edge
...@@ -557,8 +554,7 @@ begin ...@@ -557,8 +554,7 @@ begin
port map( port map(
clk_i => fs_clk(i), clk_i => fs_clk(i),
arst_n_i => fs_rst_n, arst_n_i => fs_rst_n,
--rst_n_o => fs_rst_sync_n rst_n_o => fs_rst_sync_n(i)
rst_n_o => fs_rst_sync_n(i)
); );
cmp_reset_fs2x_synch : reset_synch cmp_reset_fs2x_synch : reset_synch
...@@ -571,7 +567,6 @@ begin ...@@ -571,7 +567,6 @@ begin
-- Output adc sync'ed reset to downstream FPGA logic -- Output adc sync'ed reset to downstream FPGA logic
adc_rst_n_o(i) <= fs_rst_sync_n(i); adc_rst_n_o(i) <= fs_rst_sync_n(i);
adc_rst2x_n_o(i) <= fs_rst2x_sync_n(i); adc_rst2x_n_o(i) <= fs_rst2x_sync_n(i);
--fs_rst_sync_n(i) <= fs_rst_n;
end generate; end generate;
end generate; end generate;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment