Commit f9db01ed authored by Lucas Russo's avatar Lucas Russo

various: update package names to match infra-cores repo

parent 5a3fb6ec
#fetchto = "ip_cores" #fetchto = "ip_cores"
modules = { "local": [ modules = { "local": [
"modules/dbe_wishbone", # "modules/dbe_wishbone",
"modules/dbe_common", # "modules/dbe_common",
# "modules/rffe_top", # "modules/rffe_top",
"modules/fabric", # "modules/fabric",
"modules/fmc_adc_common", # "modules/fmc_adc_common",
"modules/utils", # "modules/utils",
"modules/pcie", # "modules/pcie",
"ip_cores/general-cores", "ip_cores/general-cores",
"ip_cores/etherbone-core", "ip_cores/etherbone-core",
"ip_cores/dsp-cores", "ip_cores/dsp-cores",
"platform"] "ip_cores/infra-cores",
# "platform"
]
}; };
...@@ -27,9 +27,9 @@ use work.wishbone_pkg.all; ...@@ -27,9 +27,9 @@ use work.wishbone_pkg.all;
-- Memory core generator -- Memory core generator
use work.gencores_pkg.all; use work.gencores_pkg.all;
-- Custom Wishbone Modules -- Custom Wishbone Modules
use work.dbe_wishbone_pkg.all; use work.ifc_wishbone_pkg.all;
-- Custom common cores -- Custom common cores
use work.dbe_common_pkg.all; use work.ifc_common_pkg.all;
-- Wishbone stream modules and interface -- Wishbone stream modules and interface
use work.wb_stream_generic_pkg.all; use work.wb_stream_generic_pkg.all;
-- Ethernet MAC Modules and SDB structure -- Ethernet MAC Modules and SDB structure
......
...@@ -27,9 +27,9 @@ use work.wishbone_pkg.all; ...@@ -27,9 +27,9 @@ use work.wishbone_pkg.all;
-- Memory core generator -- Memory core generator
use work.gencores_pkg.all; use work.gencores_pkg.all;
-- Custom Wishbone Modules -- Custom Wishbone Modules
use work.dbe_wishbone_pkg.all; use work.ifc_wishbone_pkg.all;
-- Custom common cores -- Custom common cores
use work.dbe_common_pkg.all; use work.ifc_common_pkg.all;
-- Wishbone stream modules and interface -- Wishbone stream modules and interface
use work.wb_stream_generic_pkg.all; use work.wb_stream_generic_pkg.all;
-- Ethernet MAC Modules and SDB structure -- Ethernet MAC Modules and SDB structure
......
...@@ -26,9 +26,9 @@ use work.wishbone_pkg.all; ...@@ -26,9 +26,9 @@ use work.wishbone_pkg.all;
-- Memory core generator -- Memory core generator
use work.gencores_pkg.all; use work.gencores_pkg.all;
-- Custom Wishbone Modules -- Custom Wishbone Modules
use work.dbe_wishbone_pkg.all; use work.ifc_wishbone_pkg.all;
-- Custom common cores -- Custom common cores
use work.dbe_common_pkg.all; use work.ifc_common_pkg.all;
-- Wishbone stream modules and interface -- Wishbone stream modules and interface
use work.wb_stream_generic_pkg.all; use work.wb_stream_generic_pkg.all;
-- FMC ADC definitions -- FMC ADC definitions
...@@ -46,7 +46,7 @@ use work.ipcores_pkg.all; ...@@ -46,7 +46,7 @@ use work.ipcores_pkg.all;
-- Meta Package -- Meta Package
use work.synthesis_descriptor_pkg.all; use work.synthesis_descriptor_pkg.all;
-- AXI cores -- AXI cores
use work.bpm_axi_pkg.all; use work.pcie_cntr_axi_pkg.all;
-- Trigger Modules -- Trigger Modules
use work.trigger_pkg.all; use work.trigger_pkg.all;
......
...@@ -39,7 +39,7 @@ use ieee.numeric_std.all; ...@@ -39,7 +39,7 @@ use ieee.numeric_std.all;
library work; library work;
-- Custom common cores -- Custom common cores
use work.dbe_common_pkg.all; use work.ifc_common_pkg.all;
use work.gencores_pkg.all; use work.gencores_pkg.all;
......
...@@ -44,9 +44,9 @@ use work.wishbone_pkg.all; ...@@ -44,9 +44,9 @@ use work.wishbone_pkg.all;
-- Memory core generator -- Memory core generator
use work.gencores_pkg.all; use work.gencores_pkg.all;
-- Custom Wishbone Modules -- Custom Wishbone Modules
use work.dbe_wishbone_pkg.all; use work.ifc_wishbone_pkg.all;
-- Custom common cores -- Custom common cores
use work.dbe_common_pkg.all; use work.ifc_common_pkg.all;
-- Trigger definitons -- Trigger definitons
use work.trigger_pkg.all; use work.trigger_pkg.all;
-- Positicon Calc constants -- Positicon Calc constants
...@@ -57,7 +57,7 @@ use work.genram_pkg.all; ...@@ -57,7 +57,7 @@ use work.genram_pkg.all;
-- Meta Package -- Meta Package
--use work.synthesis_descriptor_pkg.all; --use work.synthesis_descriptor_pkg.all;
-- AXI cores -- AXI cores
--use work.bpm_axi_pkg.all; --use work.pcie_cntr_axi_pkg.all;
use work.bpm_pcie_a7_const_pkg.all; use work.bpm_pcie_a7_const_pkg.all;
-- PCIe Core -- PCIe Core
use work.bpm_pcie_a7_pkg.all; use work.bpm_pcie_a7_pkg.all;
......
...@@ -27,9 +27,9 @@ use work.wishbone_pkg.all; ...@@ -27,9 +27,9 @@ use work.wishbone_pkg.all;
-- Memory core generator -- Memory core generator
use work.gencores_pkg.all; use work.gencores_pkg.all;
-- Custom Wishbone Modules -- Custom Wishbone Modules
use work.dbe_wishbone_pkg.all; use work.ifc_wishbone_pkg.all;
-- Custom common cores -- Custom common cores
use work.dbe_common_pkg.all; use work.ifc_common_pkg.all;
-- Wishbone stream modules and interface -- Wishbone stream modules and interface
use work.wb_stream_generic_pkg.all; use work.wb_stream_generic_pkg.all;
-- Ethernet MAC Modules and SDB structure -- Ethernet MAC Modules and SDB structure
......
...@@ -26,9 +26,9 @@ use work.wishbone_pkg.all; ...@@ -26,9 +26,9 @@ use work.wishbone_pkg.all;
-- Memory core generator -- Memory core generator
use work.gencores_pkg.all; use work.gencores_pkg.all;
-- Custom Wishbone Modules -- Custom Wishbone Modules
use work.dbe_wishbone_pkg.all; use work.ifc_wishbone_pkg.all;
-- Custom common cores -- Custom common cores
use work.dbe_common_pkg.all; use work.ifc_common_pkg.all;
-- Wishbone stream modules and interface -- Wishbone stream modules and interface
use work.wb_stream_generic_pkg.all; use work.wb_stream_generic_pkg.all;
-- Ethernet MAC Modules and SDB structure -- Ethernet MAC Modules and SDB structure
......
...@@ -27,7 +27,7 @@ use work.wishbone_pkg.all; ...@@ -27,7 +27,7 @@ use work.wishbone_pkg.all;
-- Memory core generator -- Memory core generator
use work.gencores_pkg.all; use work.gencores_pkg.all;
-- Custom Wishbone Modules -- Custom Wishbone Modules
use work.dbe_wishbone_pkg.all; use work.ifc_wishbone_pkg.all;
-- Wishbone stream modules and interface -- Wishbone stream modules and interface
use work.wb_stream_generic_pkg.all; use work.wb_stream_generic_pkg.all;
-- Ethernet MAC Modules and SDB structure -- Ethernet MAC Modules and SDB structure
......
...@@ -26,7 +26,7 @@ use work.wishbone_pkg.all; ...@@ -26,7 +26,7 @@ use work.wishbone_pkg.all;
-- Memory core generator -- Memory core generator
use work.gencores_pkg.all; use work.gencores_pkg.all;
-- Custom Wishbone Modules -- Custom Wishbone Modules
use work.dbe_wishbone_pkg.all; use work.ifc_wishbone_pkg.all;
-- Wishbone stream modules and interface -- Wishbone stream modules and interface
use work.wb_stream_generic_pkg.all; use work.wb_stream_generic_pkg.all;
-- Ethernet MAC Modules and SDB structure -- Ethernet MAC Modules and SDB structure
......
...@@ -27,7 +27,7 @@ use work.wishbone_pkg.all; ...@@ -27,7 +27,7 @@ use work.wishbone_pkg.all;
-- Memory core generator -- Memory core generator
use work.gencores_pkg.all; use work.gencores_pkg.all;
-- Custom Wishbone Modules -- Custom Wishbone Modules
use work.dbe_wishbone_pkg.all; use work.ifc_wishbone_pkg.all;
-- Wishbone stream modules and interface -- Wishbone stream modules and interface
use work.wb_stream_generic_pkg.all; use work.wb_stream_generic_pkg.all;
-- Ethernet MAC Modules and SDB structure -- Ethernet MAC Modules and SDB structure
......
...@@ -26,7 +26,7 @@ use work.wishbone_pkg.all; ...@@ -26,7 +26,7 @@ use work.wishbone_pkg.all;
-- Memory core generator -- Memory core generator
use work.gencores_pkg.all; use work.gencores_pkg.all;
-- Custom Wishbone Modules -- Custom Wishbone Modules
use work.dbe_wishbone_pkg.all; use work.ifc_wishbone_pkg.all;
-- Wishbone stream modules and interface -- Wishbone stream modules and interface
use work.wb_stream_generic_pkg.all; use work.wb_stream_generic_pkg.all;
-- Ethernet MAC Modules and SDB structure -- Ethernet MAC Modules and SDB structure
......
...@@ -12,7 +12,7 @@ use work.wishbone_pkg.all; ...@@ -12,7 +12,7 @@ use work.wishbone_pkg.all;
-- Memory core generator -- Memory core generator
use work.gencores_pkg.all; use work.gencores_pkg.all;
-- Custom Wishbone Modules -- Custom Wishbone Modules
use work.dbe_wishbone_pkg.all; use work.ifc_wishbone_pkg.all;
-- Wishbone stream modules and interface -- Wishbone stream modules and interface
use work.wb_stream_pkg.all; use work.wb_stream_pkg.all;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment