1. 07 Nov, 2017 2 commits
  2. 01 Nov, 2017 2 commits
  3. 31 Oct, 2017 1 commit
  4. 30 Oct, 2017 2 commits
  5. 11 Sep, 2017 1 commit
  6. 30 Aug, 2017 1 commit
  7. 28 Aug, 2017 3 commits
  8. 25 Aug, 2017 3 commits
  9. 23 Aug, 2017 1 commit
  10. 22 Aug, 2017 1 commit
  11. 08 Aug, 2017 1 commit
  12. 07 Aug, 2017 3 commits
  13. 03 Aug, 2017 1 commit
  14. 02 Aug, 2017 3 commits
  15. 24 Jul, 2017 2 commits
  16. 21 Jul, 2017 6 commits
  17. 20 Jul, 2017 1 commit
    • Lucas Russo's avatar
      modules/*/fmc_adc_clk.vhd: add MMCM_LOCKED delay/synchronizer · c5483d49
      Lucas Russo authored
      Now, on asserting LOCKED by MMCM, we synchronize it
      to the destination clock domain and waits until
      the LOCK signal has stabilized for a few clock
      cycles.
      
      In this way we can safely use the mmcm_adc_locked
      signal as a reset to downstream logic.
      c5483d49
  18. 18 Jul, 2017 1 commit
  19. 12 Jul, 2017 2 commits
  20. 10 Jul, 2017 2 commits
  21. 04 Jul, 2017 1 commit