1. 30 Oct, 2017 2 commits
  2. 11 Sep, 2017 1 commit
  3. 30 Aug, 2017 1 commit
  4. 28 Aug, 2017 3 commits
  5. 25 Aug, 2017 3 commits
  6. 23 Aug, 2017 1 commit
  7. 22 Aug, 2017 1 commit
  8. 08 Aug, 2017 1 commit
  9. 07 Aug, 2017 3 commits
  10. 03 Aug, 2017 1 commit
  11. 02 Aug, 2017 3 commits
  12. 24 Jul, 2017 2 commits
  13. 21 Jul, 2017 6 commits
  14. 20 Jul, 2017 1 commit
    • Lucas Russo's avatar
      modules/*/fmc_adc_clk.vhd: add MMCM_LOCKED delay/synchronizer · c5483d49
      Lucas Russo authored
      Now, on asserting LOCKED by MMCM, we synchronize it
      to the destination clock domain and waits until
      the LOCK signal has stabilized for a few clock
      cycles.
      
      In this way we can safely use the mmcm_adc_locked
      signal as a reset to downstream logic.
      c5483d49
  15. 18 Jul, 2017 1 commit
  16. 12 Jul, 2017 2 commits
  17. 10 Jul, 2017 2 commits
  18. 04 Jul, 2017 1 commit
  19. 27 Jun, 2017 2 commits
  20. 22 Jun, 2017 1 commit
  21. 20 Jun, 2017 2 commits