• Lucas Russo's avatar
    modules/*/fmc_adc_clk.vhd: add MMCM_LOCKED delay/synchronizer · c5483d49
    Lucas Russo authored
    Now, on asserting LOCKED by MMCM, we synchronize it
    to the destination clock domain and waits until
    the LOCK signal has stabilized for a few clock
    cycles.
    
    In this way we can safely use the mmcm_adc_locked
    signal as a reset to downstream logic.
    c5483d49
Name
Last commit
Last update
hdl Loading commit data...
history Loading commit data...
scripts Loading commit data...
.gitignore Loading commit data...
.gitmodules Loading commit data...
COPYING Loading commit data...
README Loading commit data...