Commit 53a7ca64 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

removed assignment to pulse_cnt as it is never used

parent 3dcbc842
......@@ -388,7 +388,6 @@ architecture arch of conv_common_gw is
signal rst_front_cnt : std_logic_vector(c_max_nr_chans-1 downto 0);
signal rst_rear_cnt : std_logic_vector(c_max_nr_chans-1 downto 0);
signal pulse_cnt : t_pulse_cnt;
signal front_pulse_cnt : t_pulse_cnt;
signal rear_pulse_cnt : t_pulse_cnt;
signal front_pulse_cnt_offset : t_pulse_cnt;
......@@ -738,7 +737,6 @@ cmp_pulse_cnt_rear: fastevent_counter
begin
if rising_edge(clk_20_i) then
if (rst_20_n = '0') then
pulse_cnt(i) <= (others => '0');
front_pulse_cnt(i) <= (others => '0');
rear_pulse_cnt(i) <= (others => '0');
front_pulse_cnt_offset(i) <= (others => '0');
......@@ -758,7 +756,6 @@ cmp_pulse_cnt_rear: fastevent_counter
--------------------------------------------------------------------------------
-- Connect pulse counter values for unused channels to all zeroes
gen_pulse_cnt_unused_chans : if (g_nr_chans < c_max_nr_chans) generate
pulse_cnt(c_max_nr_chans-1 downto g_nr_chans) <= (others => (others => '0'));
front_pulse_cnt(c_max_nr_chans-1 downto g_nr_chans) <= (others => (others => '0'));
rear_pulse_cnt(c_max_nr_chans-1 downto g_nr_chans) <= (others => (others => '0'));
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment