Commit a3ecf6f4 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

Same comment as previous commit.

parent d60eb611
......@@ -194,10 +194,10 @@ entity conv_common_gw is
-- SFP lines
sfp_los_i : in std_logic;
sfp_present_i : in std_logic;
sfp_present_i : in std_logic;
sfp_rate_select_o : out std_logic;
sfp_sda_b : inout std_logic;
sfp_scl_i : inout std_logic;
sfp_sda_b : inout std_logic;
sfp_scl_i : inout std_logic;
sfp_tx_disable_o : out std_logic;
sfp_tx_fault_i : in std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment