Commit 0e46cdb7 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

fw1.01: Added no sig detect block

- updated top-level file
- finished user guide doc
parent d8b6d3c2
......@@ -14,7 +14,7 @@
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="conv-sys-bd.pdf">
sodipodi:docname="conv-sys-bd.svg">
<defs
id="defs4">
<marker
......@@ -81,11 +81,11 @@
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="7.919596"
inkscape:cx="452.60851"
inkscape:cy="52.830327"
inkscape:zoom="1.979899"
inkscape:cx="276.29479"
inkscape:cy="154.73343"
inkscape:document-units="px"
inkscape:current-layer="layer1"
inkscape:current-layer="g3165"
showgrid="true"
showguides="true"
inkscape:guide-bbox="true"
......@@ -119,7 +119,7 @@
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
......@@ -449,7 +449,7 @@
id="tspan6141"
x="70.866142"
y="254.98254"
style="text-align:end;text-anchor:end">4x TTL GPIO inv.</tspan></text>
style="text-align:end;text-anchor:end">4x TTL inverters</tspan></text>
<text
sodipodi:linespacing="125%"
id="text6143"
......@@ -817,25 +817,25 @@
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="432.28348"
x="442.91339"
y="81.496063"
id="text11101"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan11103"
x="432.28348"
x="442.91339"
y="81.496063"
style="font-size:16px;font-style:normal;font-weight:bold;text-align:center;text-anchor:middle">CONV-TTL-RTM[-BLO]</tspan></text>
style="font-size:16px;font-style:normal;font-weight:bold;text-align:center;text-anchor:middle">CONV-TTL-RTM</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="432.80301"
x="443.43292"
y="95.669296"
id="text11105"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan11107"
x="432.80301"
x="443.43292"
y="95.669296"
style="font-style:normal;text-align:center;text-anchor:middle">rear-transition module</tspan></text>
</g>
......
This diff is collapsed.
This diff is collapsed.
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="226.88582"
height="99.664604"
id="svg3005"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="jitter.svg">
<defs
id="defs3007">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path4128"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="3.959798"
inkscape:cx="125.37978"
inkscape:cy="50.612995"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1" />
<metadata
id="metadata3010">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-61.507874,-52.947583)">
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 3;stroke-dashoffset:0;marker-start:none"
d="m 134.64567,131.10234 0,-70.866142 7.08661,0"
id="path3019"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 3;stroke-dashoffset:0;marker-start:none"
d="m 141.73228,131.10234 7.08662,0 0,-70.866142"
id="path3789"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 148.8189,134.64565 0,17.71654"
id="path3971"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3973"
d="m 141.73228,134.64565 0,17.71654"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 134.64567,143.50392 5.31496,0"
id="path3977"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path4793"
d="m 155.90551,143.50392 -5.31496,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="157.67717"
y="147.04723"
id="text4795"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4797"
x="157.67717"
y="147.04723">8ns</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 168.30709,53.447583 c -5.31496,5.016962 3.54331,8.560269 0,13.87523"
id="path4818"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4820"
d="m 171.85039,53.447583 c -5.31496,5.016962 3.54331,8.560269 0,13.87523"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 62.007874,131.10234 79.724406,0 0,-70.866142 25.68898,0"
id="path4822"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 170.96457,60.236198 19.48819,0 0,70.866142 97.44094,0"
id="path4824"
inkscape:connector-curvature="0" />
</g>
</svg>
No preview for this file type
This diff is collapsed.
......@@ -10,11 +10,11 @@
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
version="1.1"
width="392.23309"
height="858"
width="339.38321"
height="933.23706"
id="svg5146"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="rear-panel.pdf">
sodipodi:docname="rear-panel.svg">
<sodipodi:namedview
pagecolor="#ffffff"
bordercolor="#666666"
......@@ -28,9 +28,9 @@
inkscape:window-height="1176"
id="namedview37"
showgrid="false"
inkscape:zoom="1.3244222"
inkscape:cx="552.56194"
inkscape:cy="825.5202"
inkscape:zoom="0.93650792"
inkscape:cx="564.70543"
inkscape:cy="474.36596"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
......@@ -63,7 +63,7 @@
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
......@@ -71,7 +71,7 @@
xml:space="preserve"
id="flowRoot3116"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
transform="translate(-84.52214,-13.604389)"><flowRegion
transform="translate(-146.13311,60.122608)"><flowRegion
id="flowRegion3118"><rect
id="rect3120"
width="956.74579"
......@@ -81,34 +81,34 @@
id="flowPara3122" /></flowRoot> <text
sodipodi:linespacing="125%"
id="text3185"
y="74.212845"
x="318.74612"
y="147.93983"
x="257.13516"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:16px;font-weight:bold;text-align:start;text-anchor:start"
y="74.212845"
x="318.74612"
y="147.93983"
x="257.13516"
sodipodi:role="line"
id="tspan3193">INPUT</tspan><tspan
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
y="90.225845"
x="318.74612"
y="163.95284"
x="257.13516"
sodipodi:role="line"
id="tspan181707">Blocking level</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3195"
y="30.442362"
x="162.09227"
y="104.16936"
x="100.4813"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:16px;font-weight:bold;text-align:end;text-anchor:end"
id="tspan3199"
y="30.442362"
x="162.09227"
y="104.16936"
x="100.4813"
sodipodi:role="line">Pulse LED</tspan></text>
<g
transform="matrix(1.25,0,0,-1.25,-317.63431,1218.5989)"
transform="matrix(1.25,0,0,-1.25,-379.24528,1292.3259)"
inkscape:label="EDA-02452-V2-0_fp"
id="g92030"
clip-path="url(#clipPath180925)">
......@@ -133074,37 +133074,37 @@
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 164.9776,24.242511 45.6803,0 21.89634,24.347939"
d="m 103.36663,97.969508 45.6803,0 21.89634,24.347942"
id="path181711"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="60.814095"
y="110.50872"
x="-0.796875"
y="184.23572"
id="text181713"
sodipodi:linespacing="125%"><tspan
id="tspan181715"
sodipodi:role="line"
x="60.814095"
y="110.50872"
x="-0.796875"
y="184.23572"
style="font-size:16px;font-weight:bold;text-align:start;text-anchor:start">OUTPUTS</tspan><tspan
id="tspan181717"
sodipodi:role="line"
x="60.814095"
y="126.52172"
x="-0.796875"
y="200.24872"
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start">Blocking level</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 203.10743,75.394591 -55.2845,30.042369"
d="m 141.49646,149.12159 -55.2845,30.04237"
id="path181719"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path181721"
d="m 147.91731,105.21892 55.19012,30.04236"
d="m 86.30634,178.94592 55.19012,30.04236"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
</svg>
......@@ -10,7 +10,7 @@
xmlns:xlink="http://www.w3.org/1999/xlink"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="469.9324"
width="429.67706"
height="191.8156"
id="svg2"
version="1.1"
......@@ -25,9 +25,9 @@
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="265.50368"
inkscape:cy="151.43726"
inkscape:zoom="2.8"
inkscape:cx="131.38375"
inkscape:cy="101.11874"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -48,7 +48,7 @@
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
......@@ -56,7 +56,7 @@
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(0.89957333,-82.436523)">
transform="translate(-39.355751,-82.436523)">
<image
y="83.43306"
x="230.31496"
......@@ -86,42 +86,49 @@
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-0.89957333"
y="132.83076"
x="40.516682"
y="172.22672"
id="text3872"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="-0.89957333"
y="132.83076"
x="40.516682"
y="172.22672"
style="font-size:16px;font-weight:bold"
id="tspan3896">ON: <tspan
id="tspan4931">OFF: <tspan
style="font-weight:normal"
id="tspan4926">Type 1, glitch-sensitive,</tspan></tspan><tspan
id="tspan71666">Glitch filter off,</tspan></tspan><tspan
sodipodi:role="line"
x="-0.89957333"
y="152.83076"
style="font-size:16px;font-weight:bold"
id="tspan4931"><tspan
style="font-weight:normal"
id="tspan4933"> non-jittery pulses</tspan></tspan></text>
<text
sodipodi:linespacing="125%"
id="text3878"
y="190.82533"
x="-0.89957333"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:16px;font-weight:bold"
y="190.82533"
x="-0.89957333"
x="40.516682"
y="192.22672"
style="font-size:16px;font-weight:normal"
id="tspan71664"> non-jittery pulses</tspan><tspan
sodipodi:role="line"
id="tspan3884">OFF: <tspan
style="font-weight:normal"
id="tspan4935">Type 2, glitch-filtered,</tspan></tspan><tspan
x="40.516682"
y="212.22672"
style="font-size:16px;font-weight:normal"
y="210.82533"
id="tspan12511"> (default)</tspan></text>
<g
id="g14604"
transform="translate(41.416255,32.324881)">
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-0.89957333"
sodipodi:role="line"
id="tspan4937"> jittery pulses</tspan></text>
y="91.830383"
id="text3878"
sodipodi:linespacing="125%"><tspan
id="tspan3884"
sodipodi:role="line"
x="-0.89957333"
y="91.830383"
style="font-size:16px;font-weight:bold">ON: <tspan
style="font-weight:normal"
id="tspan14610">Glitch filter on,</tspan></tspan><tspan
id="tspan4937"
sodipodi:role="line"
x="-0.89957333"
y="111.83038"
style="font-size:16px;font-weight:normal"> jittery pulses</tspan></text>
</g>
</g>
</svg>
......@@ -26,8 +26,8 @@
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="276.42714"
inkscape:cy="58.675274"
inkscape:cx="272.34036"
inkscape:cy="58.051563"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -48,7 +48,7 @@
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
......@@ -87,41 +87,44 @@
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="473.96494"
y="132.83076"
y="130.81046"
id="text3872"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3874"
x="473.96494"
y="132.83076"
y="130.81046"
style="font-size:16px;font-weight:bold">ON:<tspan
style="font-weight:normal"
id="tspan3876"> TTL pulses</tspan></tspan><tspan
sodipodi:role="line"
x="473.96494"
y="152.83076"
style="font-size:16px;font-weight:bold"
id="tspan3896"><tspan
style="font-weight:normal"
id="tspan3898"> on input and output</tspan></tspan></text>
y="150.81046"
style="font-size:16px;font-weight:normal"
id="tspan3896"> on input and output</tspan><tspan
sodipodi:role="line"
x="473.96494"
y="170.81046"
style="font-size:16px;font-weight:normal"
id="tspan4095"> (default)</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3878"
y="190.82533"
x="473.96494"
x="470.93448"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:16px;font-weight:bold"
y="190.82533"
x="473.96494"
x="470.93448"
id="tspan3880"
sodipodi:role="line">OFF:<tspan
id="tspan3882"
style="font-weight:normal"> TTL-BAR pulses</tspan></tspan><tspan
style="font-size:16px;font-weight:normal"
y="210.82533"
x="473.96494"
x="470.93448"
sodipodi:role="line"
id="tspan3884"> on input and output</tspan></text>
id="tspan12671"> on input and output</tspan></text>
</g>
</svg>
No preview for this file type
......@@ -10,7 +10,7 @@
xmlns:xlink="http://www.w3.org/1999/xlink"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="321.39667"
width="316.25049"
height="191.63702"
id="svg2"
version="1.1"
......@@ -26,8 +26,8 @@
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="117.18514"
inkscape:cy="102.53177"
inkscape:cx="133.44371"
inkscape:cy="110.79219"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -56,7 +56,7 @@
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-147.45757,-82.436523)">
transform="translate(-152.60378,-82.436523)">
<image
y="83.43306"
x="230.31496"
......@@ -77,20 +77,20 @@
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="189.15868"
x="226.26764"
y="232.97186"
id="text3774"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="189.15868"
x="226.26764"
y="232.97186"
id="tspan3778"
style="font-weight:bold;text-align:center;text-anchor:middle">Output pulse</tspan><tspan
style="font-weight:bold;text-align:end;text-anchor:end"
id="tspan41974">Glitch filter</tspan><tspan
sodipodi:role="line"
x="189.15868"
x="226.26764"
y="245.47186"
style="font-weight:bold;text-align:center;text-anchor:middle"
id="tspan3772">type</tspan></text>
style="font-weight:bold;text-align:end;text-anchor:end"
id="tspan41978">enable</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3782"
......@@ -122,13 +122,13 @@
x="233.82562"
y="117.75751" />
<path
style="fill:none;stroke:#ffffff;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
style="fill:none;stroke:#ffffff;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 86.115504,15.617944 111.621856,0 20.32932,20.32932"
id="path3774"
inkscape:connector-curvature="0"
transform="translate(147.45757,82.436523)" />
<path
style="fill:none;stroke:#ffffff;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
style="fill:none;stroke:#ffffff;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 94.7018,129.76518 0,23.23351 -9.848987,0"
id="path3776"
inkscape:connector-curvature="0"
......@@ -148,14 +148,14 @@
<text
sodipodi:linespacing="125%"
id="text3140"
y="189.72581"
y="188.65439"
x="226.84332"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:20px;font-weight:bold;text-align:end;text-anchor:end"
y="189.72581"
y="188.65439"
x="226.84332"
id="tspan3142"
sodipodi:role="line">OFF</tspan></text>
sodipodi:role="line"
id="tspan3031">OFF</tspan></text>
</g>
</svg>
This diff is collapsed.
No preview for this file type
......@@ -14,7 +14,7 @@
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="ttl-chan.svg">
sodipodi:docname="ttl-chan.pdf">
<defs
id="defs4">
<marker
......@@ -66,8 +66,8 @@
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="76.69222"
inkscape:cy="220.0194"
inkscape:cx="193.15837"
inkscape:cy="70.507698"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -136,10 +136,10 @@
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 347.24409,350.78738 95.6693,0 0,-134.64567 -81.49607,0"
d="m 442.91339,350.78738 0,-134.64567 -81.49607,0"
id="path3948"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
sodipodi:nodetypes="ccc" />
<text
sodipodi:linespacing="125%"
id="text3974"
......@@ -191,12 +191,6 @@
d="m 375.59056,396.85037 7.08661,3.54331 0,-7.08662 -7.08661,3.54331"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cccc" />
<path
sodipodi:nodetypes="cccc"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 400.3937,350.78738 -7.08661,3.54331 0,-7.08662 7.08661,3.54331"
id="path5617"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
......@@ -41324,5 +41318,41 @@
</g>
</g>
</g>
<rect
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect51906"
width="24.803137"
height="42.519699"
x="386.22049"
y="329.5275" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 212.84842,237.40155 38.97638,0"
id="path52688"
inkscape:connector-curvature="0"
transform="translate(134.39568,113.3858)" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 276.62795,237.40155 31.88976,0"
id="path52690"
inkscape:connector-curvature="0"
transform="translate(134.39568,113.3858)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="396.8504"
y="308.26767"
id="text52692"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan52694"
x="396.8504"
y="308.26767"
style="font-size:12px;text-align:center;text-anchor:middle">pulse</tspan><tspan
sodipodi:role="line"
x="396.8504"
y="323.26767"
style="font-size:12px;text-align:center;text-anchor:middle"
id="tspan52696">generator</tspan></text>
</g>
</svg>
......@@ -9,12 +9,12 @@
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="340.42944"
height="142.73228"
width="328.25592"
height="139.01797"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="New document 1">
sodipodi:docname="ttl-vs-ttlbar.svg">
<defs
id="defs4" />
<sodipodi:namedview
......@@ -24,9 +24,9 @@
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.4"
inkscape:cx="84.343132"
inkscape:cy="85.288369"
inkscape:zoom="2.8"
inkscape:cx="194.9332"
inkscape:cy="0.025139333"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -47,7 +47,7 @@
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
......@@ -55,40 +55,95 @@
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(21.031809,-148.31888)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 70.866142,201.96849 35.433068,0 0,-53.14961 35.43307,0 0,53.14961 88.58268,0 0,-53.14961 35.43307,0 0,53.14961 53.14961,0"
id="path2987"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path2989"
d="m 70.866142,237.40155 35.433068,0 0,53.14961 35.43307,0 0,-53.14961 88.58268,0 0,53.14961 35.43307,0 0,-53.14961 53.14961,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
transform="translate(21.031809,-148.81888)">
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="0.71428573"
y="181.22572"
y="185.26901"
id="text2991"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan2993"
x="0.71428573"
y="181.22572"
y="185.26901"
style="font-size:16px;font-weight:bold">TTL</tspan></text>
<text
sodipodi:linespacing="125%"
id="text2995"
y="269.80838"
y="262.93463"
x="-21.109934"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:16px;font-weight:bold"
y="269.80838"
y="262.93463"
x="-21.109934"
id="tspan2997"
sodipodi:role="line">TTL-BAR</tspan></text>
<g
id="g22887">
<g
style="fill:none"
transform="matrix(1,0,0,-1,16.172919,263.00268)"
id="g4034">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4036"
d="m 168.30709,53.447583 c -5.31496,5.016962 3.54331,8.560269 0,13.87523"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 171.85039,53.447583 c -5.31496,5.016962 3.54331,8.560269 0,13.87523"
id="path4038"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
sodipodi:nodetypes="ccccccc"
transform="translate(-21.031809,148.31888)"
inkscape:connector-curvature="0"
id="path22860"
d="m 88.582677,54.149607 35.433073,0 0,-35.43307 0,-17.7165359 35.43307,0 0,53.1496059 45.52728,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cccccc"
inkscape:connector-curvature="0"
id="path22862"
d="m 187.4876,202.46849 39.51209,0 0,-53.14961 26.5748,0 0,53.14961 53.14961,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<g
id="g22880">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.550868,234.18724 35.433072,0 0,35.43307 0,17.71654 35.43307,0 0,-53.14961 45.52728,0"
id="path22870"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccccccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 187.4876,234.18724 39.51209,0 0,53.14961 26.5748,0 0,-53.14961 53.14961,0"
id="path22872"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccccc" />
<g
id="g22874"
transform="matrix(1,0,0,-1,16.172919,294.19114)"
style="fill:none">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 168.30709,53.447583 c -5.31496,5.016962 3.54331,8.560269 0,13.87523"
id="path22876"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path22878"
d="m 171.85039,53.447583 c -5.31496,5.016962 3.54331,8.560269 0,13.87523"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
</g>
</g>
</svg>
This diff is collapsed.
......@@ -3,7 +3,7 @@
---------------------------------------------------------------------------------------
-- File : conv_regs.vhd
-- Author : auto-generated by wbgen2 from conv_regs.wb
-- Created : Thu May 16 16:11:38 2013
-- Created : Thu Jun 20 15:54:31 2013
-- Standard : VHDL'87
---------------------------------------------------------------------------------------
-- THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE conv_regs.wb
......@@ -18,7 +18,7 @@ entity conv_regs is
port (
rst_n_i : in std_logic;
clk_sys_i : in std_logic;
wb_adr_i : in std_logic_vector(0 downto 0);
wb_adr_i : in std_logic_vector(1 downto 0);
wb_dat_i : in std_logic_vector(31 downto 0);
wb_dat_o : out std_logic_vector(31 downto 0);
wb_cyc_i : in std_logic;
......@@ -29,6 +29,12 @@ entity conv_regs is
wb_stall_o : out std_logic;
-- Port for std_logic_vector field: 'bits' in reg: 'Board ID register'
conv_regs_id_bits_o : out std_logic_vector(31 downto 0);
-- Port for std_logic_vector field: 'fwvers' in reg: 'Status register'
conv_regs_sr_fwvers_i : in std_logic_vector(15 downto 0);
-- Port for std_logic_vector field: 'switches' in reg: 'Status register'
conv_regs_sr_switches_i : in std_logic_vector(7 downto 0);
-- Port for std_logic_vector field: 'RTM detection' in reg: 'Status register'
conv_regs_sr_rtm_i : in std_logic_vector(5 downto 0);
-- Port for BIT field: 'Reset unlock bit' in reg: 'Control register'
conv_regs_cr_rst_unlock_o : out std_logic;
-- Port for BIT field: 'Reset bit' in reg: 'Control register'
......@@ -45,7 +51,7 @@ signal ack_sreg : std_logic_vector(9 downto 0);
signal rddata_reg : std_logic_vector(31 downto 0);
signal wrdata_reg : std_logic_vector(31 downto 0);
signal bwsel_reg : std_logic_vector(3 downto 0);
signal rwaddr_reg : std_logic_vector(0 downto 0);
signal rwaddr_reg : std_logic_vector(1 downto 0);
signal ack_in_progress : std_logic ;
signal wr_int : std_logic ;
signal rd_int : std_logic ;
......@@ -68,7 +74,7 @@ begin
ack_sreg <= "0000000000";
ack_in_progress <= '0';
rddata_reg <= "00000000000000000000000000000000";
conv_regs_id_bits_int <= x"424C4F32";
conv_regs_id_bits_int <= x"424c4f32";
conv_regs_cr_rst_unlock_int <= '0';
conv_regs_cr_rst_int <= '0';
elsif rising_edge(clk_sys_i) then
......@@ -82,24 +88,30 @@ begin
end if;
else
if ((wb_cyc_i = '1') and (wb_stb_i = '1')) then
case rwaddr_reg(0) is
when '0' =>
case rwaddr_reg(1 downto 0) is
when "00" =>
if (wb_we_i = '1') then
conv_regs_id_bits_int <= wrdata_reg(31 downto 0);
end if;
rddata_reg(31 downto 0) <= conv_regs_id_bits_int;
ack_sreg(0) <= '1';
ack_in_progress <= '1';
when '1' =>
when "01" =>
if (wb_we_i = '1') then
conv_regs_cr_rst_unlock_int <= wrdata_reg(30);
if (conv_regs_cr_rst_unlock_int = '1') then
conv_regs_cr_rst_int <= wrdata_reg(31);
end if;
end if;
rddata_reg(30) <= conv_regs_cr_rst_unlock_int;
rddata_reg(15 downto 0) <= conv_regs_sr_fwvers_i;
rddata_reg(23 downto 16) <= conv_regs_sr_switches_i;
rddata_reg(29 downto 24) <= conv_regs_sr_rtm_i;
rddata_reg(30) <= 'X';
rddata_reg(31) <= 'X';
ack_sreg(0) <= '1';
ack_in_progress <= '1';
when "10" =>
if (wb_we_i = '1') then
conv_regs_cr_rst_unlock_int <= wrdata_reg(0);
conv_regs_cr_rst_int <= wrdata_reg(31);
end if;
rddata_reg(0) <= conv_regs_cr_rst_unlock_int;
rddata_reg(31) <= conv_regs_cr_rst_int;
rddata_reg(0) <= 'X';
rddata_reg(1) <= 'X';
rddata_reg(2) <= 'X';
rddata_reg(3) <= 'X';
......@@ -129,6 +141,7 @@ begin
rddata_reg(27) <= 'X';
rddata_reg(28) <= 'X';
rddata_reg(29) <= 'X';
rddata_reg(30) <= 'X';
ack_sreg(0) <= '1';
ack_in_progress <= '1';
when others =>
......@@ -146,6 +159,9 @@ begin
wb_dat_o <= rddata_reg;
-- bits
conv_regs_id_bits_o <= conv_regs_id_bits_int;
-- fwvers
-- switches
-- RTM detection
-- Reset unlock bit
conv_regs_cr_rst_unlock_o <= conv_regs_cr_rst_unlock_int;
-- Reset bit
......
......@@ -15,6 +15,37 @@ peripheral {
};
};
reg {
name = "Status register";
description = "Contains fields for firmware version, switches, RTM detection lines";
prefix = "sr";
field {
name = "fwvers";
prefix = "fwvers";
type = SLV;
size = 16;
access_dev = WRITE_ONLY;
access_bus = READ_ONLY;
};
field {
name = "switches";
prefix = "switches";
type = SLV;
size = 8;
align = 16;
access_dev = WRITE_ONLY;
access_bus = READ_ONLY;
};
field {
name = "RTM detection";
prefix = "rtm";
type = SLV;
size = 6;
align = 24;
access_dev = WRITE_ONLY;
access_bus = READ_ONLY;
};
};
reg {
name = "Control register";
description = "Contains bits that control operation of the converter modules";
......
......@@ -72,35 +72,35 @@
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1370850931" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1370850931">
<transform xil_pn:end_ts="1371738664" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1371738664">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1370850931" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="3482918740615751616" xil_pn:start_ts="1370850931">
<transform xil_pn:end_ts="1371738664" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="3482918740615751616" xil_pn:start_ts="1371738664">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1370850931" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-1032337062829449789" xil_pn:start_ts="1370850931">
<transform xil_pn:end_ts="1371738664" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-1032337062829449789" xil_pn:start_ts="1371738664">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1370850931" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1370850931">
<transform xil_pn:end_ts="1371738664" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1371738664">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1370850931" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="6739244360423696002" xil_pn:start_ts="1370850931">
<transform xil_pn:end_ts="1371738664" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="6739244360423696002" xil_pn:start_ts="1371738664">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1370850931" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="-3972139311098429560" xil_pn:start_ts="1370850931">
<transform xil_pn:end_ts="1371738664" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="-3972139311098429560" xil_pn:start_ts="1371738664">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1370850931" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="-5613713180460514355" xil_pn:start_ts="1370850931">
<transform xil_pn:end_ts="1371738664" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="-5613713180460514355" xil_pn:start_ts="1371738664">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1370850949" xil_pn:in_ck="-4867058225791759267" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="-5659100974288834190" xil_pn:start_ts="1370850931">
<transform xil_pn:end_ts="1371738681" xil_pn:in_ck="-4867058225791759267" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="-5659100974288834190" xil_pn:start_ts="1371738664">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
......@@ -118,11 +118,11 @@
<outfile xil_pn:name="webtalk_pn.xml"/>
<outfile xil_pn:name="xst"/>
</transform>
<transform xil_pn:end_ts="1370850949" xil_pn:in_ck="9180755367508499589" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="1934330619683713069" xil_pn:start_ts="1370850949">
<transform xil_pn:end_ts="1371738681" xil_pn:in_ck="9180755367508499589" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="1934330619683713069" xil_pn:start_ts="1371738681">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1370850958" xil_pn:in_ck="-3184428132143472969" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="7619738475395271108" xil_pn:start_ts="1370850949">
<transform xil_pn:end_ts="1371738690" xil_pn:in_ck="-3184428132143472969" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="7619738475395271108" xil_pn:start_ts="1371738681">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_ngo"/>
......@@ -131,7 +131,7 @@
<outfile xil_pn:name="conv_ttl_blo_v2.ngd"/>
<outfile xil_pn:name="conv_ttl_blo_v2_ngdbuild.xrpt"/>
</transform>
<transform xil_pn:end_ts="1370850995" xil_pn:in_ck="-3184428132143472968" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="2503688751298223818" xil_pn:start_ts="1370850958">
<transform xil_pn:end_ts="1371738728" xil_pn:in_ck="-3184428132143472968" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="2503688751298223818" xil_pn:start_ts="1371738690">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_xmsgs/map.xmsgs"/>
......@@ -144,7 +144,7 @@
<outfile xil_pn:name="conv_ttl_blo_v2_summary.xml"/>
<outfile xil_pn:name="conv_ttl_blo_v2_usage.xml"/>
</transform>
<transform xil_pn:end_ts="1370851034" xil_pn:in_ck="-7407895592276768303" xil_pn:name="TRANEXT_par_spartan6" xil_pn:prop_ck="3214117756270688487" xil_pn:start_ts="1370850995">
<transform xil_pn:end_ts="1371738765" xil_pn:in_ck="-7407895592276768303" xil_pn:name="TRANEXT_par_spartan6" xil_pn:prop_ck="3214117756270688487" xil_pn:start_ts="1371738728">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_xmsgs/par.xmsgs"/>
......@@ -158,7 +158,7 @@
<outfile xil_pn:name="conv_ttl_blo_v2_pad.txt"/>
<outfile xil_pn:name="conv_ttl_blo_v2_par.xrpt"/>
</transform>
<transform xil_pn:end_ts="1370851055" xil_pn:in_ck="-7071212854459536945" xil_pn:name="TRANEXT_bitFile_spartan6" xil_pn:prop_ck="396117104113915555" xil_pn:start_ts="1370851034">
<transform xil_pn:end_ts="1371738787" xil_pn:in_ck="-7071212854459536945" xil_pn:name="TRANEXT_bitFile_spartan6" xil_pn:prop_ck="396117104113915555" xil_pn:start_ts="1371738765">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
......@@ -170,7 +170,7 @@
<outfile xil_pn:name="webtalk.log"/>
<outfile xil_pn:name="webtalk_pn.xml"/>
</transform>
<transform xil_pn:end_ts="1370851034" xil_pn:in_ck="-3184428132143473100" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416185" xil_pn:start_ts="1370851023">
<transform xil_pn:end_ts="1371738765" xil_pn:in_ck="-3184428132143473100" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416185" xil_pn:start_ts="1371738754">
<status xil_pn:value="FailedRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_xmsgs/trce.xmsgs"/>
......
......@@ -220,6 +220,7 @@
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Generator" xil_pn:value="ProjNav" xil_pn:valueState="default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
......
......@@ -14,6 +14,10 @@
--
-- dependencies:
--
-- references:
-- [1] ELMA, Access to board data using SNMP and I2C
-- http://www.ohwr.org/documents/227
--
--------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
......@@ -78,9 +82,9 @@ entity conv_ttl_blo_v2 is
fpga_inv_oe_o : out std_logic;
--TTL/INV_TTL_N
ttl_switch_n_i : in std_logic;
ttl_switch_n_i : in std_logic;
extra_switch_n_i : in std_logic_vector(7 downto 1);
extra_switch_n_i : in std_logic_vector(7 downto 1);
-- Lines for the i2c_slave
scl_i : in std_logic;
......@@ -113,6 +117,8 @@ architecture behav of conv_ttl_blo_v2 is
--============================================================================
-- Constant declarations
--============================================================================
-- Firmware version
constant c_fwvers : std_logic_vector(15 downto 0) := x"0101";
-- Number of Wishbone masters and slaves, for wb_crossbar
constant c_nr_masters : natural := 1;
constant c_nr_slaves : natural := 1;
......@@ -272,7 +278,7 @@ architecture behav of conv_ttl_blo_v2 is
port (
rst_n_i : in std_logic;
clk_sys_i : in std_logic;
wb_adr_i : in std_logic_vector(0 downto 0);
wb_adr_i : in std_logic_vector(1 downto 0);
wb_dat_i : in std_logic_vector(31 downto 0);
wb_dat_o : out std_logic_vector(31 downto 0);
wb_cyc_i : in std_logic;
......@@ -283,6 +289,12 @@ architecture behav of conv_ttl_blo_v2 is
wb_stall_o : out std_logic;
-- Port for std_logic_vector field: 'bits' in reg: 'Board ID register'
conv_regs_id_bits_o : out std_logic_vector(31 downto 0);
-- Port for std_logic_vector field: 'fwvers' in reg: 'Status register'
conv_regs_sr_fwvers_i : in std_logic_vector(15 downto 0);
-- Port for std_logic_vector field: 'switches' in reg: 'Status register'
conv_regs_sr_switches_i : in std_logic_vector(7 downto 0);
-- Port for std_logic_vector field: 'RTM detection' in reg: 'Status register'
conv_regs_sr_rtm_i : in std_logic_vector(5 downto 0);
-- Port for BIT field: 'Reset unlock bit' in reg: 'Control register'
conv_regs_cr_rst_unlock_o : out std_logic;
-- Port for BIT field: 'Reset bit' in reg: 'Control register'
......@@ -308,15 +320,18 @@ architecture behav of conv_ttl_blo_v2 is
signal rtmm, rtmp : std_logic_vector(2 downto 0);
signal rtmm_ok, rtmp_ok : std_logic;
-- Signals to/from converter system registers component
signal rtm_lines : std_logic_vector(5 downto 0);
signal switches_n : std_logic_vector(7 downto 0);
-- Signals for pulse generation triggers
signal trig : std_logic_vector(g_nr_ttl_chan downto 1);
signal trig_inv : std_logic_vector(g_nr_inv_chan downto 1);
signal trig_ttl, trig_blo : std_logic_vector(g_nr_ttl_chan downto 1);
signal trig_ttl_mux : std_logic_vector(g_nr_ttl_chan downto 1);
-- TTL-BAR lack of signal counter
signal ttlbar_nosig_cnt : t_ttlbar_nosig_cnt;
signal ttlbar_nosig : std_logic_vector(g_nr_ttl_chan downto 1);
signal ttlbar_nosig_n : std_logic_vector(g_nr_ttl_chan downto 1);
-- Temporary signal for blocking and TTL pulse outputs
signal pulse_outputs : std_logic_vector(g_nr_ttl_chan downto 1);
......@@ -346,6 +361,7 @@ architecture behav of conv_ttl_blo_v2 is
-- I2C bridge signals
signal i2c_done : std_logic;
signal i2c_err : std_logic;
signal i2c_err_led : std_logic;
signal i2c_up : std_logic;
signal i2c_addr : std_logic_vector(6 downto 0);
signal led_i2c : std_logic;
......@@ -394,8 +410,10 @@ begin
--============================================================================
-- I2C bridge logic
--============================================================================
-- Set the I2C address signal according to ELMA protocol [1]
i2c_addr <= "10" & fpga_ga_i;
-- Instantiate I2C bridge component
cmp_i2c_bridge : elma_i2c
port map
(
......@@ -468,20 +486,19 @@ begin
end if;
end process p_i2c_blink;
-- -- Process to set the I2C_UP signal for display on the front panel
-- -- of the front module. The I2C_UP signal is permanently set once an
-- -- I2C transfer has successfully completed, as signaled by the RD_DONE
-- -- and WR_DONE outputs of the I2C slave.
-- p_i2c_up : process (clk125) is
-- begin
-- if rising_edge(clk125) then
-- if (rst_n = '0') then
-- i2c_up <= '0';
-- elsif (i2c_done = '1') then
-- i2c_up <= '1';
-- end if;
-- end if;
-- end process p_i2c_up;
-- Process to set the I2C error LED signal for display on the front panel
-- of the front module. The I2C error signal is permanently set once an
-- error is detected from the bridge module.
p_i2c_err_led : process (clk125) is
begin
if rising_edge(clk125) then
if (rst_n = '0') then
i2c_err_led <= '0';
elsif (i2c_err = '1') then
i2c_err_led <= '1';
end if;
end if;
end process p_i2c_err_led;
--============================================================================
-- Instantiation and connection of the main Wishbone crossbar
......@@ -511,11 +528,14 @@ begin
--============================================================================
-- Converter board registers
--============================================================================
switches_n <= ttl_switch_n_i & extra_switch_n_i(7 downto 1);
rtm_lines <= rtmp & rtmm;
cmp_conv_regs : conv_regs
port map (
rst_n_i => rst_n,
clk_sys_i => clk125,
wb_adr_i => xbar_master_out(c_slv_conv_regs).adr(2 downto 2),
wb_adr_i => xbar_master_out(c_slv_conv_regs).adr(3 downto 2),
wb_dat_i => xbar_master_out(c_slv_conv_regs).dat,
wb_dat_o => xbar_master_in (c_slv_conv_regs).dat,
wb_cyc_i => xbar_master_out(c_slv_conv_regs).cyc,
......@@ -526,6 +546,9 @@ begin
wb_stall_o => xbar_master_in (c_slv_conv_regs).stall,
conv_regs_id_bits_o => open,
conv_regs_sr_fwvers_i => c_fwvers,
conv_regs_sr_switches_i => switches_n,
conv_regs_sr_rtm_i => rtm_lines,
conv_regs_cr_rst_unlock_o => open,
conv_regs_cr_rst_o => open
);
......@@ -562,27 +585,32 @@ begin
--============================================================================
-- TTL and blocking pulse generation logic
--============================================================================
-- First, the TTL signal mux, selected via the TTL switch
trig_ttl_mux <= not fpga_input_ttl_n_i when (ttl_switch_n_i = '0') else
fpga_input_ttl_n_i;
-- First, the TTL trigger mux, selected via the TTL switch; ttlbar_nosig_n is
-- controlled in the process below
trig_ttl <= not fpga_input_ttl_n_i when (ttl_switch_n_i = '0') else
fpga_input_ttl_n_i and ttlbar_nosig_n;
-- Now we assign the trigger signals
trig_ttl <= trig_ttl_mux and (not ttlbar_nosig);
-- Then, the blocking trigger
trig_blo <= fpga_blo_in_i;
-- And now the OR gate at the inputs of the pulse generator blocks
trig <= trig_ttl or trig_blo;
gen_ttl_pulse_generators: for i in 1 to g_nr_ttl_chan generate
gen_ttl_pulse_generators : for i in 1 to g_nr_ttl_chan generate
-- Process to detect lack of signal on TTL line
--
-- If the signal line is high for 100 us, the ttlbar_nosig_n lines disable
-- the mux input.
p_ttlbar_nosig : process(clk125)
begin
if rising_edge(clk125) then
if (rst_n = '0') or (trig_ttl_mux(i) = '0') then
ttlbar_nosig(i) <= '0';
if (rst_n = '0') or (fpga_input_ttl_n_i(i) = '0') then
ttlbar_nosig_n(i) <= '1';
ttlbar_nosig_cnt(i) <= (others => '0');
elsif (trig_ttl_mux(i) = '1') then
elsif (fpga_input_ttl_n_i(i) = '1') then
ttlbar_nosig_cnt(i) <= ttlbar_nosig_cnt(i) + 1;
if (ttlbar_nosig_cnt(i) = 12499) then
ttlbar_nosig(i) <= '1';
ttlbar_nosig_n(i) <= '0';
ttlbar_nosig_cnt(i) <= (others => '0');
end if;
end if;
......@@ -679,8 +707,8 @@ begin
-- I2C
bicolor_led_state(13 downto 12) <= c_LED_RED when (i2c_err = '1') else
c_LED_GREEN when (led_i2c = '1') else
bicolor_led_state(13 downto 12) <= c_LED_GREEN when (led_i2c = '1') else
c_LED_RED when (i2c_err_led = '1') else
c_LED_OFF;
-- State of TTL/TTL_N switch
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment