Commit d1d5a289 authored by gilsoriano's avatar gilsoriano

Documentation update of the SPI master multifield.

parent 14ff60a2
This diff is collapsed.
......@@ -164,9 +164,6 @@ signal s_spi_clk_n_d0 : STD_LOGIC;
signal s_spi_miso_data : STD_LOGIC_VECTOR(31 downto 0);
signal enable_spi_clk : STD_LOGIC;
signal enable_spi_clk_n : STD_LOGIC;
begin
data_o <= s_spi_miso_data;
......@@ -250,9 +247,6 @@ begin
divider_i => s_spi_clk_divider_i
);
enable_spi_clk <= not(s_SPI0.CPOL xor s_SPI0.CPHA);
enable_spi_clk_n <= (s_SPI0.CPOL xor s_SPI0.CPHA);
s_spi_clk <= s_spi_clk_tmp;
s_spi_clk_n <= not(s_spi_clk_tmp);
......
......@@ -199,7 +199,7 @@ package spi_master_pkg is
constant c_SPI0_addr : STD_LOGIC_VECTOR (3 downto 0) := X"0";
constant c_SPI1_addr : STD_LOGIC_VECTOR (3 downto 0) := X"1";
constant c_SPI2_addr : STD_LOGIC_VECTOR (3 downto 0) := X"2";
constant c_SPI3_addr : STD_LOGIC_VECTOR (3 downto 0) := X"2";
constant c_SPI3_addr : STD_LOGIC_VECTOR (3 downto 0) := X"3";
function f_SPI0 (signal r_register : in STD_LOGIC_VECTOR(31 downto 0))
return r_SPI0;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment