Commit d98caa2e authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

hdlguide almost done

parent 0dcd74a0
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="778.34839"
height="301.53174"
id="svg5856"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="bicolor-led.pdf">
<defs
id="defs5858">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path4095"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker6443"
style="overflow:visible">
<path
id="path6445"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="0.98994949"
inkscape:cx="316.15305"
inkscape:cy="177.15017"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
showguides="true"
inkscape:guide-bbox="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid5864"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
spacingx="0.5px"
spacingy="0.5px"
originx="-22.151619px"
originy="-729.75px" />
</sodipodi:namedview>
<metadata
id="metadata5861">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-22.151619,-21.080444)">
<g
id="g9059"
transform="translate(0,-45)">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7442"
d="m 95,359.73226 102.5,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7418"
d="m 95,257.36218 102.5,0.0637"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<g
transform="matrix(0,-0.50000036,0.50000036,0,155.90547,183.937)"
id="g8196">
<g
transform="matrix(1.0583328,0,0,1,76.024588,-199.99985)"
id="g6481">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g6474"
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path6476"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path6480"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6482"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6484"
d="M 49.606299,127.55903 42.519685,120.3501"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6486"
d="m 38.976378,127.55903 -7.066929,-7.26869"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
</g>
<g
id="g6489"
transform="matrix(-1.0583328,0,0,-1,175.2745,116.37805)">
<g
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)"
id="g6491"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path6493"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path6495"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path6497"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="M 49.606299,127.55903 42.519685,120.3501"
id="path6499"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 38.976378,127.55903 -7.066929,-7.26869"
id="path6501"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
inkscape:connector-curvature="0"
id="path6507"
d="m 148.14953,-61.810885 19.99999,0 0,39.999972 -19.99999,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 103.14956,-61.81089 -19.999984,0 0,39.99998 19.999984,0"
id="path7029"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7031"
d="m 168.14952,-41.810899 34.99997,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 48.149601,-41.8109 34.999975,0"
id="path7033"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path5193"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0,1.9999986,-1.9999986,0,49.716597,-261.77723)" />
<path
transform="matrix(0,1.9999986,-1.9999986,0,134.71654,-261.77723)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7053"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<rect
ry="9.9999924"
rx="9.9999924"
transform="matrix(0,1,-1,0,0,0)"
y="-183.14951"
x="-86.810867"
height="114.99992"
width="89.999931"
id="rect7055"
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1.99999857;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text7060"
y="-145.14954"
x="-81.810875"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="-145.14954"
x="-81.810875"
id="tspan7062"
sodipodi:role="line">R</tspan></text>
<text
xml:space="preserve"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-15.810916"
y="-145.14954"
id="text7064"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan7066"
x="-15.810916"
y="-145.14954">G</tspan></text>
</g>
<g
transform="matrix(0,-0.50000036,0.50000036,0,155.90547,183.937)"
id="g8169">
<g
id="g7097"
transform="matrix(1.0583328,0,0,1,76.024588,-74.99994)">
<g
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)"
id="g7099"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path7101"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path7103"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path7105"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="M 49.606299,127.55903 42.519685,120.3501"
id="path7107"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 38.976378,127.55903 -7.066929,-7.26869"
id="path7109"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<g
transform="matrix(-1.0583328,0,0,-1,175.2745,241.37796)"
id="g7111">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g7113"
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path7115"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path7117"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7119"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7121"
d="M 49.606299,127.55903 42.519685,120.3501"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7123"
d="m 38.976378,127.55903 -7.066929,-7.26869"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 148.14953,63.189025 19.99999,0 0,39.999975 -19.99999,0"
id="path7125"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7127"
d="m 103.14956,63.18902 -19.999984,0 0,39.99998 19.999984,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 168.14952,83.189011 34.99997,0"
id="path7129"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7131"
d="m 48.149601,83.18901 34.999975,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0,1.9999986,-1.9999986,0,49.716597,-136.77732)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7133"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path7135"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0,1.9999986,-1.9999986,0,134.71654,-136.77732)" />
<rect
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1.99999857;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect7137"
width="89.999931"
height="114.99992"
x="38.189041"
y="-183.14951"
transform="matrix(0,1,-1,0,0,0)"
rx="9.9999924"
ry="9.9999924" />
<text
xml:space="preserve"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="43.189034"
y="-145.14954"
id="text7139"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan7141"
x="43.189034"
y="-145.14954">R</tspan></text>
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text7143"
y="-145.14954"
x="109.189"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="-145.14954"
x="109.189"
id="tspan7145"
sodipodi:role="line">G</tspan></text>
</g>
<g
transform="matrix(0,-0.50000036,0.50000036,0,155.90547,204.0007)"
id="g8223">
<g
id="g7149"
transform="matrix(1.0583328,0,0,1,-78.975302,-199.99985)">
<g
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)"
id="g7151"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path7153"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path7155"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path7157"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="M 49.606299,127.55903 42.519685,120.3501"
id="path7159"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 38.976378,127.55903 -7.066929,-7.26869"
id="path7161"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<g
transform="matrix(-1.0583328,0,0,-1,20.274614,116.37805)"
id="g7163">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g7165"
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path7167"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path7169"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7171"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7173"
d="M 49.606299,127.55903 42.519685,120.3501"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7175"
d="m 38.976378,127.55903 -7.066929,-7.26869"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -6.8503602,-61.810885 19.9999862,0 0,39.999972 -19.9999862,0"
id="path7177"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7179"
d="m -51.850328,-61.81089 -19.999985,0 0,39.99998 19.999985,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 13.149626,-41.810899 34.999975,0"
id="path7181"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7183"
d="m -106.85029,-41.8109 34.999977,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0,1.9999986,-1.9999986,0,-105.28329,-261.77723)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7185"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path7187"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0,1.9999986,-1.9999986,0,-20.283354,-261.77723)" />
<rect
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1.99999857;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect7189"
width="89.999931"
height="114.99992"
x="-86.810867"
y="-28.149611"
transform="matrix(0,1,-1,0,0,0)"
rx="9.9999924"
ry="9.9999924" />
<text
xml:space="preserve"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-81.810875"
y="9.8503561"
id="text7191"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan7193"
x="-81.810875"
y="9.8503561">R</tspan></text>
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text7195"
y="9.8503561"
x="-15.810916"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="9.8503561"
x="-15.810916"
id="tspan7197"
sodipodi:role="line">G</tspan></text>
</g>
<g
transform="matrix(0,-0.50000036,0.50000036,0,155.90547,204.0007)"
id="g8250">
<g
transform="matrix(1.0583328,0,0,1,-78.975302,-74.99994)"
id="g7201">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g7203"
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path7205"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path7207"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7209"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7211"
d="M 49.606299,127.55903 42.519685,120.3501"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7213"
d="m 38.976378,127.55903 -7.066929,-7.26869"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
</g>
<g
id="g7215"
transform="matrix(-1.0583328,0,0,-1,20.274614,241.37796)">
<g
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)"
id="g7217"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path7219"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path7221"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path7223"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="M 49.606299,127.55903 42.519685,120.3501"
id="path7225"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 38.976378,127.55903 -7.066929,-7.26869"
id="path7227"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
inkscape:connector-curvature="0"
id="path7229"
d="m -6.8503602,63.189025 19.9999862,0 0,39.999975 -19.9999862,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -51.850328,63.18902 -19.999985,0 0,39.99998 19.999985,0"
id="path7231"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7233"
d="m 13.149626,83.189011 34.999975,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -106.85029,83.18901 34.999977,0"
id="path7235"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path7237"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0,1.9999986,-1.9999986,0,-105.28329,-136.77732)" />
<path
transform="matrix(0,1.9999986,-1.9999986,0,-20.283354,-136.77732)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7239"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<rect
ry="9.9999924"
rx="9.9999924"
transform="matrix(0,1,-1,0,0,0)"
y="-28.149611"
x="38.189041"
height="114.99992"
width="89.999931"
id="rect7241"
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1.99999857;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text7243"
y="9.8503561"
x="43.189034"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="9.8503561"
x="43.189034"
id="tspan7245"
sodipodi:role="line">R</tspan></text>
<text
xml:space="preserve"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="109.189"
y="9.8503561"
id="text7247"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan7249"
x="109.189"
y="9.8503561">G</tspan></text>
</g>
<g
transform="matrix(0,-0.50000036,0.50000036,0,155.90547,228.937)"
id="g8304">
<g
transform="matrix(1.0583328,0,0,1,-233.9752,-199.99985)"
id="g7253">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g7255"
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path7257"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path7259"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7261"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7263"
d="M 49.606299,127.55903 42.519685,120.3501"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7265"
d="m 38.976378,127.55903 -7.066929,-7.26869"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
</g>
<g
id="g7267"
transform="matrix(-1.0583328,0,0,-1,-134.72528,116.37805)">
<g
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)"
id="g7269"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path7271"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path7273"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path7275"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="M 49.606299,127.55903 42.519685,120.3501"
id="path7277"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 38.976378,127.55903 -7.066929,-7.26869"
id="path7279"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
inkscape:connector-curvature="0"
id="path7281"
d="m -161.85025,-61.810885 19.99999,0 0,39.999972 -19.99999,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -206.85022,-61.81089 -19.99999,0 0,39.99998 19.99999,0"
id="path7283"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7285"
d="m -141.85026,-41.810899 34.99997,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -261.85018,-41.8109 34.99997,0"
id="path7287"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path7289"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0,1.9999986,-1.9999986,0,-260.28319,-261.77723)" />
<path
transform="matrix(0,1.9999986,-1.9999986,0,-175.28325,-261.77723)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7291"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<rect
ry="9.9999924"
rx="9.9999924"
transform="matrix(0,1,-1,0,0,0)"
y="126.85028"
x="-86.810867"
height="114.99992"
width="89.999931"
id="rect7293"
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1.99999857;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text7295"
y="164.85025"
x="-81.810875"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="164.85025"
x="-81.810875"
id="tspan7297"
sodipodi:role="line">R</tspan></text>
<text
xml:space="preserve"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-15.810916"
y="164.85025"
id="text7299"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan7301"
x="-15.810916"
y="164.85025">G</tspan></text>
</g>
<g
transform="matrix(0,-0.50000036,0.50000036,0,155.90547,228.937)"
id="g8277">
<g
id="g7305"
transform="matrix(1.0583328,0,0,1,-233.9752,-74.99994)">
<g
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)"
id="g7307"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path7309"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path7311"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path7313"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="M 49.606299,127.55903 42.519685,120.3501"
id="path7315"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 38.976378,127.55903 -7.066929,-7.26869"
id="path7317"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<g
transform="matrix(-1.0583328,0,0,-1,-134.72528,241.37796)"
id="g7319">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g7321"
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path7323"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path7325"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7327"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7329"
d="M 49.606299,127.55903 42.519685,120.3501"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7331"
d="m 38.976378,127.55903 -7.066929,-7.26869"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -161.85025,63.189025 19.99999,0 0,39.999975 -19.99999,0"
id="path7333"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7335"
d="m -206.85022,63.18902 -19.99999,0 0,39.99998 19.99999,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -141.85026,83.189011 34.99997,0"
id="path7337"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7339"
d="m -261.85018,83.18901 34.99997,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0,1.9999986,-1.9999986,0,-260.28319,-136.77732)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7341"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path7343"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0,1.9999986,-1.9999986,0,-175.28325,-136.77732)" />
<rect
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1.99999857;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect7345"
width="89.999931"
height="114.99992"
x="38.189041"
y="126.85028"
transform="matrix(0,1,-1,0,0,0)"
rx="9.9999924"
ry="9.9999924" />
<text
xml:space="preserve"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="43.189034"
y="164.85025"
id="text7347"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan7349"
x="43.189034"
y="164.85025">R</tspan></text>
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text7351"
y="164.85025"
x="109.189"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="164.85025"
x="109.189"
id="tspan7353"
sodipodi:role="line">G</tspan></text>
</g>
<rect
transform="scale(-1,-1)"
style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect7361"
width="15"
height="5.0000005"
x="-95"
y="-84.862183"
rx="0"
ry="0" />
<rect
transform="scale(-1,-1)"
ry="0"
rx="0"
y="-72.362183"
x="-95"
height="5.0000005"
width="15"
id="rect7367"
style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 82.28346,159.86218 -20.000004,0"
id="path7414"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 95,159.86218 102.50001,0"
id="path7416"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7420"
d="m 82.283455,257.42588 -19.999999,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 88.78346,156.36218 0,-11.5 -26.500004,0"
id="path7422"
inkscape:connector-curvature="0" />
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 82.283455,154.77556 0,12.71654 L 95,159.86218 82.283455,152.23226 z"
id="path3785"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7424"
d="m 88.78346,253.79596 0,-11.5 -26.500004,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path7426"
d="m 82.283455,252.20934 0,12.71654 L 95,257.29596 82.283455,249.66604 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="59.783459"
y="164.86218"
id="text7428"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7430"
x="59.783459"
y="164.86218"
style="text-align:end;text-anchor:end">L1</tspan></text>
<text
sodipodi:linespacing="125%"
id="text7432"
y="147.36218"
x="59.783459"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="147.36218"
x="59.783459"
id="tspan7434"
sodipodi:role="line">L1_OEN</tspan></text>
<path
transform="translate(25.016761,376.35034)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7436"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
inkscape:connector-curvature="0"
id="path7440"
d="m 82.283455,359.73226 -19.999999,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path7444"
d="m 88.78346,356.23226 0,-11.5 -26.500004,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path7446"
d="m 82.283455,354.64565 0,12.71653 L 95,359.73226 82.283455,352.10234 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text7448"
y="262.4259"
x="59.783459"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="262.4259"
x="59.783459"
id="tspan7450"
sodipodi:role="line">L2</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="59.783459"
y="244.92589"
id="text7452"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7454"
x="59.783459"
y="244.92589"
style="text-align:end;text-anchor:end">L2_OEN</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="59.783459"
y="364.86221"
id="text7456"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7458"
x="59.783459"
y="364.86221"
style="text-align:end;text-anchor:end">L3</tspan></text>
<text
sodipodi:linespacing="125%"
id="text7460"
y="347.36221"
x="59.783459"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="347.36221"
x="59.783459"
id="tspan7462"
sodipodi:role="line">L3_OEN</tspan></text>
<text
sodipodi:linespacing="125%"
id="text7468"
y="73.502319"
x="57.500004"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="73.502319"
x="57.500004"
id="tspan7470"
sodipodi:role="line">C1</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="57.500004"
y="86.002319"
id="text7472"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7474"
x="57.500004"
y="86.002319"
style="text-align:end;text-anchor:end">C2</tspan></text>
<path
inkscape:connector-curvature="0"
id="path9021"
d="m 80,82.362183 -20,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 80,69.862181 -20,0"
id="path9023"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path9025"
d="m 95,82.362183 40,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="ccc"
inkscape:connector-curvature="0"
id="path9027"
d="m 197.5,82.362183 0,-12.5 -102.5,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path9031"
d="m 107.5,82.362183 0,94.999997 27.5,0 0,2.5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="ccccc"
inkscape:connector-curvature="0"
id="path9033"
d="m 197.5,69.862183 27.5,0 0,107.499997 -27.5,0 0,2.5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path9035"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(25.016761,274.07868)" />
<path
transform="translate(25.016761,176.57868)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path9037"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path9039"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(-2.4832382,99.078684)" />
<path
transform="translate(87.516762,86.578682)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path9041"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
transform="translate(-2.4832382,194.07868)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path9043"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path9045"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(115.01676,194.07868)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 107.5,177.36218 0,102.5 27.5,0 0,2.5"
id="path9047"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path9049"
d="m 225,177.36218 0,102.5 -27.5,0 0,2.5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
<g
id="g9285"
transform="translate(0,16.984131)">
<g
id="g9279">
<path
inkscape:connector-curvature="0"
id="path7698"
d="m 320,72.362185 10,0 0,-15.000001 19.99999,0 0,15.000001 20.00001,0 0,-15.000001 20,0 0,15.000001 20,0 0,-15.000001 20,0 0,15.000001 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 439.99999,72.362185 10,0 0,-15.000001 20,0 0,15.000001 20,0 0,-15.000001 20,0 0,15.000001 20,0 0,-15.000001 20,0 0,15.000001 10.00001,0"
id="path7700"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 560,72.362185 10,0 0,-15.000001 20,0 0,15.000001 20,0 0,-15.000001 20,0 0,15.000001 20,0 0,-15.000001 19.99999,0 0,15.000001 10.00001,0"
id="path7702"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7704"
d="m 680,72.362185 10,0 0,-15.000001 19.99997,0 0,15.000001 20.00001,0 0,-15.000001 20.00002,0 0,15.000001 19.99996,0 0,-15.000001 20.00002,0 0,15.000001 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<text
sodipodi:linespacing="125%"
id="text7899"
y="68.507202"
x="299.99997"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="68.507202"
x="299.99997"
id="tspan7901"
sodipodi:role="line">Ln</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="300"
y="107.39392"
id="text7903"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7905"
x="300"
y="107.39392"
style="text-align:end;text-anchor:end">L1_OEN</tspan></text>
<text
sodipodi:linespacing="125%"
id="text7913"
y="147.39392"
x="300"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="147.39392"
x="300"
id="tspan7915"
sodipodi:role="line">L2_OEN</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="300"
y="187.39392"
id="text7917"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7919"
x="300"
y="187.39392"
style="text-align:end;text-anchor:end">L3_OEN</tspan></text>
<text
sodipodi:linespacing="125%"
id="text7921"
y="228.50232"
x="300"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="228.50232"
x="300"
id="tspan7923"
sodipodi:role="line">Cn</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="300"
y="268.01306"
id="text7925"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7927"
x="300"
y="268.01306"
style="text-align:end;text-anchor:end">LED Ln/Cn</tspan></text>
<g
id="g9273">
<path
inkscape:connector-curvature="0"
id="path7929"
d="m 320,97.362181 30,0 0,14.999999 80,0 0,-14.999999 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 440,97.362183 30,0 0,14.999997 80,0 0,-14.999997 10,0"
id="path7933"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7935"
d="m 560,97.362183 30,0 0,14.999997 79.99999,0 0,-14.999997 10.00001,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 680,97.362183 30.00002,0 0,14.999997 79.99996,0 0,-14.999997 10,0"
id="path7937"
inkscape:connector-curvature="0" />
</g>
<g
id="g9270">
<path
inkscape:connector-curvature="0"
id="path7939"
d="m 320,152.36218 30,0 0,-15 40,0 0,15 80,0 0,-15 40,0 0,15 80,0 0,-15 40,0 0,15 80,0 0,-15 40,0 0,15 50,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<g
id="g9267">
<path
inkscape:connector-curvature="0"
id="path7941"
d="m 320,192.36218 70,0 0,-15 40,0 0,15 40,0 40,0 0,-15 40,0 0,15 80,0 0,-15 40,0 0,15 80,0 0,-15 40,0 0,15 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<g
id="g9262">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 320,232.36218 10,0 0,-15 19.99999,0 0,15 20.00001,0 0,-15 20,0 0,15 20,0 0,-15 20,0 0,15 10,0"
id="path7947"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="ccccc"
inkscape:connector-curvature="0"
id="path7949"
d="m 440,232.36218 110,0 0,-15 120,0 9.99999,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 680,217.36218 10,0 0,15 19.99997,0 0,-15 20.00001,0 0,15 20.00002,0 0,-15 19.99996,0 0,15 20.00002,0 0,-15 10,0"
id="path7951"
inkscape:connector-curvature="0" />
</g>
<path
style="fill:none;stroke:#b2b2b2;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:12, 12;stroke-dashoffset:0"
d="m 430,32.362181 0,244.999999"
id="path7953"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7955"
d="m 550,32.362181 0,244.999999"
style="fill:none;stroke:#b2b2b2;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:12, 12;stroke-dashoffset:0" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:12, 12;stroke-dashoffset:0"
d="m 669.99999,32.362181 0,244.999999"
id="path7957"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7959"
d="m 789.99998,32.362181 0,244.999999"
style="fill:none;stroke:#b2b2b2;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:12, 12;stroke-dashoffset:0" />
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="355"
y="269.86218"
id="text7961"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7963"
x="355"
y="269.86218"
style="font-size:14px;font-weight:bold">OFF</tspan></text>
<text
sodipodi:linespacing="125%"
id="text7965"
y="269.86218"
x="494.57764"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:14px;font-weight:bold;text-align:center;text-anchor:middle"
y="269.86218"
x="494.57764"
id="tspan7967"
sodipodi:role="line">GREEN</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
x="490"
y="42.362175"
id="text8331"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="490"
y="42.362175"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1"
id="tspan8335">Refresh period</tspan></text>
<g
style="stroke:#b2b2b2;stroke-opacity:1"
id="g8533"
transform="matrix(0,-0.50000036,0.50000036,0,154.90547,183.937)">
<path
style="fill:none;stroke:#b2b2b2;stroke-width:3.99999714;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 288.14943,583.18862 0,-19.99997"
id="path8525"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path8527"
d="m 290.14943,563.18865 -4,0 2,-2.99999 z"
style="fill:none;stroke:#b2b2b2;stroke-width:3.99999714;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cccc" />
</g>
<g
style="stroke:#b2b2b2;stroke-opacity:1"
transform="matrix(0,0.50000036,-0.50000036,0,825.59455,-104.21264)"
id="g8537">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path8539"
d="m 288.14943,583.18862 0,-19.99997"
style="fill:none;stroke:#b2b2b2;stroke-width:3.99999714;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cccc"
style="fill:none;stroke:#b2b2b2;stroke-width:3.99999714;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 290.14943,563.18865 -4,0 2,-2.99999 z"
id="path8541"
inkscape:connector-curvature="0" />
</g>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="610"
y="269.86902"
id="text9051"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan9053"
x="610"
y="269.86902"
style="font-size:14px;font-weight:bold;text-align:center;text-anchor:middle">RED</tspan></text>
<text
sodipodi:linespacing="125%"
id="text9055"
y="269.86218"
x="735"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:14px;font-weight:bold;text-align:center;text-anchor:middle"
y="269.86218"
x="735"
id="tspan9057"
sodipodi:role="line">ORANGE</tspan></text>
</g>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="161.45953"
height="337.46649"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="body.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="TriangleOutL"
style="overflow:visible">
<path
id="path3905"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3908"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="13.739403"
inkscape:cy="111.53048"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
showguides="true"
inkscape:guide-bbox="true" />
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-118.28683,-192.98573)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 125.37344,204.12669 0,45.66929"
id="path2989"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="117.85714"
y="530.31061"
id="text4389"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4391"
x="117.85714"
y="530.31061"
style="font-weight:bold">end</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="144.30203"
y="232.02812"
id="text4399"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4401"
x="144.30203"
y="232.02812">125 MHz clock, reset</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4421"
d="m 125.37344,257.2763 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4423"
y="285.17773"
x="144.30203"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="285.17773"
x="144.30203"
id="tspan4425"
sodipodi:role="line">I<tspan
style="font-size:65.00091553%;baseline-shift:super"
id="tspan4538">2</tspan>C bridge and crossbar</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 125.37344,310.4259 0,45.66929"
id="path4427"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="144.30203"
y="333.04657"
id="text4429"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="144.30203"
y="333.04657"
id="tspan4540">CSR instantiation</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4433"
d="m 125.37344,363.57551 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4435"
y="385.22696"
x="144.30203"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="385.22696"
x="144.30203"
sodipodi:role="line"
id="tspan4542">Pulse generation and pulse</tspan><tspan
y="397.72696"
x="144.30203"
sodipodi:role="line"
id="tspan3068">status logic</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4439"
y="200.58339"
x="118.28683"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="200.58339"
x="118.28683"
id="tspan4441"
sodipodi:role="line"
style="font-weight:bold">begin</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 125.37344,416.72512 0,45.66929"
id="path4544"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="144.30203"
y="439.34576"
id="text4546"
sodipodi:linespacing="125%"><tspan
id="tspan4550"
sodipodi:role="line"
x="144.30203"
y="439.34576">Status LEDs</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4554"
d="m 125.37344,469.87472 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4556"
y="497.77615"
x="144.30203"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="497.77615"
x="144.30203"
sodipodi:role="line"
id="tspan4560">RTM detection</tspan></text>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="210.30273"
height="250.03427"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="declarative.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="TriangleOutL"
style="overflow:visible">
<path
id="path3905"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3908"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="196.06729"
inkscape:cy="73.114217"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0" />
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-118.28683,-184.40738)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 124.28571,202.36218 0,45.66929"
id="path2989"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="117.85714"
y="192.00504"
id="text4389"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4391"
x="117.85714"
y="192.00504"><tspan
style="font-weight:bold"
id="tspan4397">architecture </tspan>behav <tspan
style="font-weight:bold"
id="tspan4395">of </tspan>conv_ttl_blo_v2 <tspan
style="font-weight:bold"
id="tspan4393">is</tspan></tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="143.21429"
y="230.26361"
id="text4399"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4401"
x="143.21429"
y="230.26361">Type declarations</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4421"
d="m 124.28571,255.11809 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4423"
y="283.01953"
x="143.21429"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="283.01953"
x="143.21429"
id="tspan4425"
sodipodi:role="line">Constant declarations</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 124.28571,308.26769 0,45.66929"
id="path4427"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="143.21429"
y="336.16916"
id="text4429"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4431"
x="143.21429"
y="336.16916">Component declarations</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4433"
d="m 124.28571,361.4173 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4435"
y="389.31879"
x="143.21429"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="389.31879"
x="143.21429"
id="tspan4437"
sodipodi:role="line">Signal declarations</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4439"
y="432.28345"
x="117.85714"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="432.28345"
x="117.85714"
id="tspan4441"
sodipodi:role="line"
style="font-weight:bold">begin</tspan></text>
</g>
</svg>
No preview for this file type
......@@ -10,11 +10,11 @@
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="625.29675"
height="399.46332"
height="365.18927"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="hdl-bd.pdf">
sodipodi:docname="hdl-bd.svg">
<defs
id="defs4">
<marker
......@@ -165,9 +165,9 @@
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="5.6"
inkscape:cx="323.48766"
inkscape:cy="181.40567"
inkscape:zoom="1.4"
inkscape:cx="484.06775"
inkscape:cy="153.79136"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -193,7 +193,7 @@
spacingx="0.5mm"
spacingy="0.5mm"
originx="-13.230467mm"
originy="-189.71778mm" />
originy="-189.71769mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
......@@ -211,33 +211,33 @@
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-46.879608,19.329491)">
transform="translate(-46.879608,-14.944859)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 216.14173,175.39368 -21.25984,0 0,31.88977 -35.43307,0"
d="m 251.5748,95.669263 -56.69291,0 0,31.889777 -35.43307,0"
id="path12620"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
inkscape:connector-curvature="0"
id="path12622"
d="m 216.14173,168.30707 -21.25984,0 0,-31.88976 -35.43307,0"
d="m 251.5748,88.582653 -56.69291,0 0,-31.88976 -35.43307,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccc" />
<path
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 212.59843,162.99211 c 5.31496,7.08661 5.31496,10.62992 0,17.71654 12.04146,0 21.25984,0 26.5748,-8.85827 -5.31496,-8.85827 -14.53334,-8.85827 -26.5748,-8.85827 z"
d="m 248.0315,83.267693 c 5.31496,7.08661 5.31496,10.62992 0,17.716537 12.04146,0 21.25984,0 26.5748,-8.858267 -5.31496,-8.85827 -14.53334,-8.85827 -26.5748,-8.85827 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 327.75591,171.85038 -88.58268,0"
d="m 327.75591,92.125963 -53.14961,0"
id="path12624"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="translate(217.77267,188.56688)"
transform="translate(217.77267,108.84246)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
......@@ -247,7 +247,7 @@
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<rect
y="242.71652"
y="162.99211"
x="356.10239"
height="17.716534"
width="53.149597"
......@@ -256,17 +256,17 @@
<text
sodipodi:linespacing="125%"
id="text13450"
y="226.77165"
y="147.04724"
x="356.10239"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-weight:bold;text-align:start;text-anchor:start"
id="tspan13452"
y="226.77165"
y="147.04724"
x="356.10239"
sodipodi:role="line">6x pulse</tspan><tspan
style="font-weight:bold;text-align:start;text-anchor:start"
y="239.27165"
y="159.54724"
x="356.10239"
sodipodi:role="line"
id="tspan13481">generator</tspan></text>
......@@ -274,28 +274,28 @@
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="356.10239"
y="109.8425"
y="30.118086"
id="text13454"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="356.10239"
y="109.8425"
y="30.118086"
id="tspan13456"
style="font-weight:bold;text-align:start;text-anchor:start">6x pulse</tspan><tspan
sodipodi:role="line"
x="356.10239"
y="122.3425"
y="42.618088"
style="font-weight:bold;text-align:start;text-anchor:start"
id="tspan13485">generator</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 409.25197,136.41731 139.96063,0"
d="m 409.25197,56.692893 139.96063,0"
id="path13508"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 504.92126,136.41731 0,70.86614 44.29134,0"
d="m 504.92126,56.692893 0,70.866147 44.29134,0"
id="path13510"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
......@@ -308,11 +308,11 @@
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(394.93802,153.13381)" />
transform="translate(394.93802,73.409393)" />
<path
inkscape:connector-curvature="0"
id="path13514"
d="m 409.25197,251.57479 139.96063,0"
d="m 409.25197,171.85038 139.96063,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<rect
......@@ -321,9 +321,9 @@
width="53.149597"
height="17.716534"
x="357.87402"
y="244.48817" />
y="164.76376" />
<rect
y="246.25983"
y="166.53542"
x="359.64569"
height="17.716534"
width="53.149597"
......@@ -335,9 +335,9 @@
width="53.149597"
height="17.716534"
x="356.10239"
y="127.55904" />
y="47.834625" />
<rect
y="129.33069"
y="49.606277"
x="357.87402"
height="17.716534"
width="53.149597"
......@@ -349,22 +349,22 @@
width="53.149597"
height="17.716534"
x="359.64569"
y="131.10234" />
y="51.37793" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="442.91333"
y="53.149582"
y="201.96848"
id="text13585"
sodipodi:linespacing="125%"><tspan
id="tspan13591"
sodipodi:role="line"
x="442.91333"
y="53.149582"
y="201.96848"
style="font-weight:bold;text-align:center;text-anchor:middle">reset</tspan><tspan
sodipodi:role="line"
x="442.91333"
y="65.649582"
y="214.46848"
style="font-weight:bold;text-align:center;text-anchor:middle"
id="tspan13598">generator</tspan></text>
<text
......@@ -465,7 +465,7 @@
sodipodi:role="line"
style="font-size:12px;font-weight:bold">SDA</tspan></text>
<rect
y="69.094467"
y="263.97635"
x="221.4567"
height="17.716526"
width="88.582664"
......@@ -474,18 +474,18 @@
<text
sodipodi:linespacing="125%"
id="text13665"
y="65.551163"
y="260.43304"
x="265.74802"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan13669"
style="font-weight:bold;text-align:center;text-anchor:middle"
y="65.551163"
y="260.43304"
x="265.74802"
sodipodi:role="line">RTM detector</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 487.20472,77.952723 62.00788,0"
d="m 487.20472,226.77162 62.00788,0"
id="path13673"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
......@@ -512,10 +512,10 @@
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path13683"
d="m 159.4488,77.999123 58.46458,-0.0464 0,0 3.5433,0"
d="m 159.4488,272.88102 58.46458,-0.0464 0,0 3.5433,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:none" />
<rect
y="69.094437"
y="217.91331"
x="398.62204"
height="17.716564"
width="88.582634"
......@@ -577,81 +577,81 @@
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="150.59055"
y="74.949554"
y="269.83145"
id="text14796"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan14798"
x="150.59055"
y="74.949554"
y="269.83145"
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end">RTM detection</tspan><tspan
sodipodi:role="line"
x="150.59055"
y="89.949554"
y="284.83145"
id="tspan14800"
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end">lines</tspan></text>
<text
sodipodi:linespacing="125%"
id="text14802"
y="139.96062"
y="60.236206"
x="150.59055"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end"
id="tspan14806"
y="139.96062"
y="60.236206"
x="150.59055"
sodipodi:role="line">TTL inputs</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="150.59055"
y="210.82675"
y="131.10234"
id="text14810"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="150.59055"
y="210.82675"
y="131.10234"
id="tspan14812"
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end">Blocking inputs</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="558.07086"
y="139.96062"
y="60.236206"
id="text14814"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="558.07086"
y="139.96062"
y="60.236206"
id="tspan14816"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">TTL outputs</tspan></text>
<text
sodipodi:linespacing="125%"
id="text14818"
y="210.82675"
y="131.10234"
x="558.07086"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start"
id="tspan14820"
y="210.82675"
y="131.10234"
x="558.07086"
sodipodi:role="line">Blocking outputs</tspan></text>
<text
sodipodi:linespacing="125%"
id="text14822"
y="73.7164"
y="222.53529"
x="558.07086"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start"
id="tspan14824"
y="73.7164"
y="222.53529"
x="558.07086"
sodipodi:role="line">Blocking power</tspan><tspan
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start"
y="88.7164"
y="237.53529"
x="558.07086"
sodipodi:role="line"
id="tspan14826">supply reset</tspan></text>
......@@ -659,33 +659,33 @@
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="558.07086"
y="251.57478"
y="171.85037"
id="text14828"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="558.07086"
y="251.57478"
y="171.85037"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start"
id="tspan14832">LEDs (front and</tspan><tspan
sodipodi:role="line"
x="558.07086"
y="266.57477"
y="186.85037"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start"
id="tspan14836">rear panel)</tspan></text>
<text
sodipodi:linespacing="125%"
id="text14838"
y="-3.3641423e-05"
y="248.03146"
x="442.91333"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan14842"
style="font-weight:bold;text-align:center;text-anchor:middle"
y="-3.3641423e-05"
y="248.03146"
x="442.91333"
sodipodi:role="line">Bicolor LED</tspan><tspan
style="font-weight:bold;text-align:center;text-anchor:middle"
y="12.499967"
y="260.53146"
x="442.91333"
sodipodi:role="line"
id="tspan14856">controller</tspan></text>
......@@ -693,7 +693,7 @@
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path14844"
d="m 487.20472,24.803106 62.00788,0"
d="m 487.20472,272.8346 62.00788,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
......@@ -701,54 +701,52 @@
width="88.582634"
height="17.716564"
x="398.62204"
y="15.944821" />
y="263.97632" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="558.07086"
y="20.566776"
y="268.59827"
id="text14848"
sodipodi:linespacing="125%"><tspan
id="tspan14852"
sodipodi:role="line"
x="558.07086"
y="20.566776"
id="tspan14850"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">Blocking power</tspan><tspan
id="tspan14852"
y="268.59827"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">Bicolor status</tspan><tspan
sodipodi:role="line"
x="558.07086"
y="35.566776"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">supply reset</tspan></text>
y="283.59827"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start"
id="tspan5797">LEDs</tspan></text>
<rect
style="opacity:0.5;fill:none;stroke:#323333;stroke-width:2;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:6, 6;stroke-dashoffset:0"
id="rect4649"
width="368.89496"
height="397.46332"
height="363.18927"
x="171.45937"
y="-18.329491" />
y="15.944859" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.5;fill:#333333;fill-opacity:1;stroke:none;font-family:Sans"
x="177.16536"
y="-1.7716757"
y="33.661392"
id="text4651"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4653"
x="177.16536"
y="-1.7716757"
y="33.661392"
style="font-size:14px;font-weight:bold;fill:#333333;fill-opacity:1">FPGA</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 309.22275,155.74679 -28.34645,0 0,35.43307"
d="m 356.10236,56.692883 -28.34645,0 0,35.43307"
id="path14903"
inkscape:connector-curvature="0"
transform="translate(46.879608,-19.329491)" />
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 309.22275,270.90427 -28.34645,0 0,-79.72441"
d="m 356.10236,171.85036 -28.34645,0 0,-79.724407"
id="path14905"
inkscape:connector-curvature="0"
transform="translate(46.879608,-19.329491)" />
inkscape:connector-curvature="0" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="346.63464"
height="86.302528"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="rtm-detect.pdf">
<defs
id="defs4" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="3.959798"
inkscape:cx="148.86524"
inkscape:cy="61.570381"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid2985"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="-24.85889mm"
originy="-242.35889mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-88.082682,-107.30768)">
<text
sodipodi:linespacing="125%"
id="text13665"
y="144.27559"
x="229.91457"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan13669"
style="font-weight:bold;text-align:center;text-anchor:middle"
y="144.27559"
x="229.91457"
sodipodi:role="line">rtm_detector</tspan></text>
<rect
y="148.81888"
x="168.30708"
height="35.433067"
width="124.01575"
id="rect13663"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="170.07874"
y="161.22044"
id="text3426"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="170.07874"
y="161.22044"
style="font-weight:normal;text-align:start;text-anchor:start"
id="tspan3428">rtmm_i</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3430"
y="177.16533"
x="170.07874"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan3432"
style="font-weight:normal;text-align:start;text-anchor:start"
y="177.16533"
x="170.07874"
sodipodi:role="line">rtmp_i</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3434"
y="161.22044"
x="288.77954"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan3436"
style="font-weight:normal;text-align:end;text-anchor:end"
y="161.22044"
x="288.77954"
sodipodi:role="line">rtmm_ok_o</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="288.77954"
y="178.93698"
id="text3442"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="288.77954"
y="178.93698"
style="font-weight:normal;text-align:end;text-anchor:end"
id="tspan3444">rtmp_ok_o</tspan></text>
<path
inkscape:connector-curvature="0"
id="path5122"
d="m 122.24409,157.67714 -33.661408,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 168.30708,157.67714 -31.88976,0"
id="path5124"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 122.24409,175.39368 -33.661408,0"
id="path5132"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path5134"
d="m 168.30708,175.39368 -31.88976,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path5708"
d="m 292.32283,157.67714 35.43308,0 0,5.31496 7.08661,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path5710"
d="m 292.32283,175.39368 35.43308,0 0,-5.31496 7.08661,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5725"
d="m 354.33071,166.53541 17.71653,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<text
sodipodi:linespacing="125%"
id="text5727"
y="170.07872"
x="373.81891"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="170.07872"
x="373.81891"
id="tspan5729"
sodipodi:role="line">set ERR LED</tspan></text>
<rect
y="139.9606"
x="115.15748"
height="53.149605"
width="26.574802"
id="rect5731"
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:4, 4;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text5733"
y="113.3858"
x="128.70073"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1"
id="tspan5749"
y="113.3858"
x="128.70073"
sodipodi:role="line">inverting signals</tspan><tspan
style="font-size:8px;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1"
id="tspan5753"
y="123.3858"
x="128.70073"
sodipodi:role="line">from Schmitt</tspan><tspan
style="font-size:8px;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1"
id="tspan5755"
y="133.3858"
x="128.70073"
sodipodi:role="line">triggers on board</tspan></text>
<g
id="g9536">
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:3.49449205;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5130"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
transform="matrix(0.17274307,0,0,0.17274307,107.64948,155.19498)" />
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.60364932;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 121.51192,170.49702 0,12.24165 12.24164,-7.34499 -12.24164,-7.34499 z"
id="path5128"
inkscape:connector-curvature="0" />
</g>
<g
id="g9526"
transform="translate(0,-17.716534)">
<path
transform="matrix(0.17274307,0,0,0.17274307,107.64948,155.19498)"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path9528"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:3.49449205;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
inkscape:connector-curvature="0"
id="path9530"
d="m 121.51192,170.49702 0,12.24165 12.24164,-7.34499 -12.24164,-7.34499 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.60364932;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:3.49449205;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path9534"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
transform="matrix(0.17274307,0,0,0.17274307,307.84633,149.88002)" />
<path
transform="matrix(0.17274307,0,0,0.17274307,307.84633,142.79341)"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path9540"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:3.49449205;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path3936"
d="m 336.61417,157.67714 c 0,8.85826 0,8.85826 0,17.71653 12.04146,0 17.71654,-3.54329 17.71654,-8.85826 0,-5.31496 -5.67508,-8.85827 -17.71654,-8.85827 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
</svg>
......@@ -40,3 +40,9 @@
title = {{Access to board data using SNMP and I2C}},
howpublished = {\url{http://www.ohwr.org/documents/227}}
}
@misc{rtm-detect,
title = {{Rear Transition Module detection}},
howpublished = {\url{http://www.ohwr.org/projects/conv-ttl-blo/wiki/RTM_board_detection}}
}
......@@ -40,7 +40,7 @@
\hline
\multicolumn{1}{c}{\textbf{Date}} & \multicolumn{1}{c}{\textbf{Version}} & \multicolumn{1}{c}{\textbf{Change}} \\
\hline
02-07-2013 & 0.1 & First draft \\
04-07-2013 & 0.1 & First draft \\
\hline
\end{tabular}
}
......@@ -66,6 +66,7 @@ IC & Integrated Circuit \\
I$^2$C & Inter-Intergrated Circuit (bus) \\
PLL & Phase-Locked Loop \\
SPI & Serial Peripheral Interface \\
SysMon & (ELMA) System Montior \\
VCXO & Voltage-controlled oscillator \\
\end{tabular}
......@@ -144,38 +145,229 @@ Table~\ref{tbl:clocks} lists the clock domains in the firmware.
}
\end{table}
%==============================================================================
% SEC: Reset gen
%==============================================================================
\section{Reset generator}
\label{sec:reset-gen}
%\begin{table}[h]
% \caption{Reset generator}
% \label{tbl:pulse-gen}
\centerline
{
\begin{tabular}{l l l}
\hline
\textbf{Entity} & \textit{reset\_gen} & \\
\textbf{Generics} & \textit{g\_reset\_time} & Reset time in \textit{clk\_i} cycles \\
\textbf{Ports} & \textit{clk\_i} & Clock signal \\
& \textit{rst\_i} & Active-high reset input \\
& \textit{rst\_n\_o} & Active-low reset output \\
\textbf{Usage} & Global reset generation & 96~$ms$ reset \\
\hline
\end{tabular}
}
%\end{table}
\vspace*{11pt}
The reset generator module (\textit{reset\_gen}) implemented inside the FPGA
generates a predefined-width reset signal when power is applied to the FPGA, or
when an external reset is triggered via the \textit{rst\_i} pin.
When a power-on reset occurs on the Xilinx FPGA, a counter inside the \textit{reset\_gen}
module starts counting up. While this counter is counting up, the active-low reset signal
is kept low, resetting synchronous logic inside the FPGA. When the counter reaches the
value of the reset width (specified via the \textit{g\_reset\_time} generic at synthesis
time), the reset signal is de-asserted, the counter is disabled and the \textit{reset\_gen}
module remains inactive.
The module reactivates on the power-on reset, or when a reset is triggered externally, via
the \textit{rst\_i} pin.
Note that the VHDL of this module is Xilinx and XST-specific and porting to a different
FPGA architecture is not guaranteed to provide the same results. The \textit{reset\_gen}
module has an initial value set for the counter signal after power-up, which is guaranteed
by XST to be set after the FPGA's GSR signal is de-asserted.
By default, the reset time is set to 96~$ms$.
%==============================================================================
% SEC: RTM detection
%==============================================================================
\section{RTM detection}
\label{sec:rtm-detect}
%\begin{table}[h]
% \caption{RTM detection block}
% \label{tbl:pulse-gen}
\centerline
{
\begin{tabular}{l l l}
\hline
\textbf{Entity} & \textit{rtm\_detector} & \\
\textbf{Ports} & \textit{rtmm\_i(2..0)} & RTM mainboard detection lines \\
& \textit{rtmp\_i(2..0)} & RTM piggyback detection lines \\
& \textit{rtmm\_ok\_o} & RTM mainboard present \\
& \textit{rtmp\_ok\_o} & RTM piggyback present \\
\textbf{Usage} & Light ERR status LED & \\
\hline
\end{tabular}
}
%\end{table}
\vspace*{11pt}
RTM detection is described in \cite{rtm-detect}. Since an RTMM/P missing would mean
all \textit{rtmm\_i}/\textit{rtmp\_i} lines are all-ones, the \textit{rtm\_detector}
module sets the \textit{rtmm\_ok} and \textit{rtmp\_ok} signals low if the
\textit{rtmm\_i} and \textit{rtmp\_i} input signals are respectively all-ones.
The \textit{rtmm\_ok} and \textit{rtmp\_ok} signals are NANDed together to light
the ERR status LED on the CONV-TTL-BLO.
\begin{figure}[h]
\centerline{\includegraphics[width=.85\textwidth]{fig/rtm-detect}}
\caption{\textit{rtm\_detector} block in CONV-TTL-BLO firmware}
\label{fig:rtm-detect}
\end{figure}
The status of the RTM detection lines can also be read via their respective fields
in the CONV board status register (Section~\ref{sec:periphs-csr}).
%==============================================================================
% SEC: Bicolor LEDs
%==============================================================================
\section{Bicolor LED controller}
\label{sec:bicolor-led}
%\begin{table}[h]
% \caption{Bicolor LED controller block}
% \label{tbl:pulse-gen}
\centerline
{
\begin{tabular}{l l l}
\hline
\textbf{Entity} & \textit{bicolor\_led\_ctrl} & \\
\textbf{Generics} & \textit{g\_NB\_COLUMN} & Number of columns \\
& \textit{g\_NB\_LINE} & Number of lines \\
& \textit{g\_CLK\_FREQ} & Frequency (in Hz) of \textit{clk\_i} signal \\
& \textit{g\_REFRESH\_RATE} & LED refresh rate (in Hz)\\
\textbf{Ports} & \textit{rst\_n\_i} & Active-low reset input \\
& \textit{clk\_i} & Clock signal input \\
& \textit{led\_intensity\_i(6..0)} & 7-bit LED intensity vector \\
& \textit{led\_state\_i(..)} & LED state vector, two bits per LED \\
& \textit{column\_o(..)} & LED column vector, one bit per column \\
& \textit{line\_o(..)} & LED line vector, one bit per line \\
& \textit{line\_oen\_o(..)} & LED line enable vector, one bit per line\\
\textbf{Usage} & Light bicolor LEDS & \\
\hline
\end{tabular}
}
%\end{table}
\vspace*{11pt}
The \textit{bicolor\_led\_ctrl} block controls the lighting of a bicolor
LED matrix. Based on the refresh rate given via the \textit{g\_REFRESH\_RATE}
generic, the clock frequency (\textit{g\_CLK\_FREQ} generic) and the number of
lines and columns, the module calculates controls lighting of the LED matrix.
Figure~\ref{fig:bicolor-led} shows an example of controlling a three-line,
two-column red-and-green LED matrix. The FPGA ouputs for the columns~(C) are connected
to buffers and serial resistors and then to the LEDs. The FPGA outputs for lines~(L)
are connected to tri-state buffers and the to the LEDs. The FPGA outputs for line
output enables~(L\_OEN) are connected to the output enable of the tri-state buffers.
\begin{figure}
\centerline{\includegraphics[width=\textwidth]{fig/bicolor-led}}
\caption{3x2 bicolor LED matrix control}
\label{fig:bicolor-led}
\end{figure}
The two-bit \textit{led\_state\_i} vector can be used to control the color of each
LED. Table~\ref{tbl:bicolor-led-state} lists the values that should be input on
\textit{led\_state\_i} to get the needed color. Definitions are provided in
the \textit{bicolor\_led\_ctrl\_pkg.vhd} file for setting the color of the LED
via \textit{led\_state\_i}; the constants are also listed in Table~\ref{tbl:bicolor-led-state}.
\begin{table}[h]
\caption{LED state input}
\label{tbl:bicolor-led-state}
\centerline
{
\begin{tabular}{l l c}
\hline
\multicolumn{1}{c}{\textbf{State}} & \multicolumn{1}{c}{\textbf{Constant}} & \textbf{Value} \\
\hline
Off & c\_LED\_OFF & 00 \\
Green & c\_LED\_GREEN & 01 \\
Red & c\_LED\_RED & 10 \\
Orange & c\_LED\_RED\_ORANGE & 11 \\
\hline
\end{tabular}
}
\end{table}
Each LED's two-bit state is connected to \textit{led\_state\_i} on a column-first,
line-second basis. Table~\ref{tbl:bicolor-led-state-conn} shows the \textit{led\_state\_i}
connections for the example in Figure~\ref{fig:bicolor-led}.
\begin{table}[h]
\caption{Connecting the LED state vector to the 2x3 matrix}
\label{tbl:bicolor-led-state-conn}
\centerline
{
\begin{tabular}{c c c}
\hline
\textbf{Line} & \textbf{Column} & \textbf{LED state bits} \\
\hline
1 & 1 & \textit{1..0} \\
1 & 2 & \textit{3..2} \\
2 & 1 & \textit{5..4} \\
2 & 2 & \textit{7..6} \\
3 & 1 & \textit{9..8} \\
3 & 2 & \textit{11..10} \\
\hline
\end{tabular}
}
\end{table}
%==============================================================================
% SEC: Pulse gen
%==============================================================================
\section{Pulse generators}
\label{sec:pulse-gen}
\begin{table}[h]
\caption{Pulse generator blocks}
\label{tbl:pulse-gen}
%\begin{table}[h]
% \caption{Pulse generator blocks}
% \label{tbl:pulse-gen}
\centerline
{
\begin{tabular}{l l l}
\hline
\textbf{Entity} & \textit{ctb\_pulse\_gen} & \\
\textbf{Generics} & \textit{g\_pulse\_width} & Width of the output pulse in \textit{clk\_i} cycles \\
\textbf{Entity} & \textit{ctb\_pulse\_gen} & \\
\textbf{Generics} & \textit{g\_pulse\_width} & Width of the output pulse in \textit{clk\_i} cycles \\
& \textit{g\_glitch\_filt\_len} & Length of glitch filter \\
\textbf{Ports} & \textit{clk\_i} & Clock signal \\
& \textit{rst\_n\_i} & Active-low reset signal \\
\textbf{Ports} & \textit{clk\_i} & Clock signal \\
& \textit{rst\_n\_i} & Active-low reset signal \\
& \textcolor{red}{\textit{glitch\_filt\_en\_n}} & \textcolor{red}{Active-low glitch filter enable} \\
& \textit{en\_i} & Pulse generator enable \\
& \textit{trig\_i} & Pulse trigger \\
& \textit{pulse\_o} & Pulse output \\
\textbf{Usage} & Output pulse & 1.2~${\mu}s$ pulses \\
& Flash pulse LEDs & 96~$ms$ pulses \\
& \textit{en\_i} & Pulse generator enable \\
& \textit{trig\_i} & Pulse trigger \\
& \textit{pulse\_o} & Pulse output \\
\textbf{Usage} & Output pulse & 1.2~${\mu}s$ pulses \\
& Flash pulse LEDs & 96~$ms$ pulses \\
\hline
\end{tabular}
}
\end{table}
%\end{table}
\vspace*{11pt}
The \textit{ctb\_pulse\_gen} (Table~\ref{tbl:pulse-gen}) blocks are twice used in the
CONV-TTL-BLO firmware. First, they are used for generating the output pulses based on
the trigger input. In this case, they are configured for 1.2~${\mu}s$ pulses
The \textit{ctb\_pulse\_gen} blocks are twice used in the CONV-TTL-BLO firmware.
First, they are used for generating the output pulses based on the trigger input.
In this case, they are configured for 1.2~${\mu}s$ pulses
(\textit{g\_pulse\_width = 150}, considering the 8~$ns$ clock input).
Second, they are used for blinking the front and rear-panel pulse LEDs
......@@ -202,17 +394,16 @@ a fixed-width pulse at the output.
\label{fig:pulse-gen}
\end{figure}
The block contains a glitch filter (Section~\ref{sec:glitch-filt}) that can be used
to decrease sensitivity to glitches in noisy environments. The glitch filter length
can be enabled via the \textit{glitch\_filt\_en\_n} input (connected to SW1.1 on the
CONV-TTL-BLO). The length of the filter can be set via the \textit{g\_glitch\_filt\_len}
generic.
The block contains a glitch filter that can be used to decrease sensitivity to glitches
in noisy environments. The glitch filter length can be enabled via the
\textit{glitch\_filt\_en\_n} input (connected to SW1.1 on the CONV-TTL-BLO). The length
of the filter can be set via the \textit{g\_glitch\_filt\_len} generic.
Enabling the glitch filter will lead to the trigger being sampled using \textit{clk125}
and introduces leading-edge jitter on the \textit{pulse\_o} output. To avoid this
leading-edge pulse jitter, the glitch filter can be disabled.
In whatever the case (glitch filter enabled or not), the FSM reacts to the
Regardless of whether the glitch filter is enabled or not, the FSM reacts to the
rising edge of one of its two start inputs. A rising edge on an input starts
the internal counter, which counts up to a maximum value of \textit{g\_pulse\_width}.
The behavior of the outputs are different, depending on the state of the glitch filter.
......@@ -247,14 +438,15 @@ Considering that the counter FSM in the \textit{ctb\_pulse\_gen} reacts to
rising edges on its inputs, it can now be understood why the \textit{PG} block
in Section~4.3 of \cite{ctb-ug} expects TTL type pulses at its inputs.
The \textit{no sig. detect} block at the multiplexer input on the TTL-BAR side
detects the lack of a signal by checking for a continuous high level on the line.
This is important when the TTL selection switch is set to TTL-BAR, since no signal
would mean a DC high-level signal appears at the OR gate input and this signal would
inhibit pulses arriving from the blocking side.
%The \textit{no sig. detect} block at the multiplexer input on the TTL-BAR side
%detects the lack of a signal by checking for a continuous high level on the line.
%This is important when the TTL selection switch is set to TTL-BAR, since no signal
%would mean a DC high-level signal appears at the OR gate input and this signal would
%inhibit pulses arriving from the blocking side.
%
\begin{figure}[h]
\centerline{\includegraphics[scale=1]{fig/no-sig-detect}}
\centerline{\includegraphics[width=.75\textwidth]{fig/no-sig-detect}}
\caption{No signal detect block}
\label{fig:no-sig-detect}
\end{figure}
......@@ -269,14 +461,290 @@ is re-enabled as soon as it goes back low, i.e., when a wire has been plugged in
channel.
%==============================================================================
% SEC: Mem-mapped periphs
%==============================================================================
\section{Memory-mapped peripherals}
\label{sec:periphs}
This section details the various peripherals mapped on the internal
Wishbone bus. Access to these peripherals is made through the two serial lines
on the VME P1 connector (\textit{SERCLK}, \textit{SERDAT}). The I$^2$C-based
protocol proposed by ELMA~\cite{sysmon-i2c} for their VME crates is used to
access these peripherals. A bridge module (Section~\ref{sec:elma-i2c}) translates
I$^2$C transfers into Wishbone transfers.
The complete memory map of the firmware can be found in Appendix~\ref{app:memmap}.
%------------------------------------------------------------------------------
% SUBSEC: Statregs
%------------------------------------------------------------------------------
\subsection{I$^2$C to Wishbone bridge}
\label{sec:elma-i2c}
The \textit{elma\_i2c} module \textcolor{red}{\textbf{REFERENCE}} implements a bridge between the serial lines on the
VME P1 connector using the ELMA I$^2$C-based protocol~\cite{sysmon-i2c}, and the
Wishbone interconnect. The module provides one I$^2$C slave interface for connecting
to an ELMA SysMon and one Wishbone master interface.
Details about the module's implementation can be found in its documentation \textcolor{red}{\textbf{REFERENCE}}.
%------------------------------------------------------------------------------
% SUBSEC: CSR
%------------------------------------------------------------------------------
\subsection{Control and status registers}
\label{sec:periphs-csr}
The status registers implemented in the firmware contain the current firmware
version, the position of the on-board switches and the values on RTM detection lines.
No control registers are currently implemented.
See Appendix~\ref{app:memmap-csr} for more information.
%======================================================================================
% SEC: Folder structure
%======================================================================================
\pagebreak
\section{Folder Structure}
\label{sec:fold-struct}
The folder structure used within the firmware is presented below.
\renewcommand{\labelitemi}{$\rightarrow$}
\renewcommand{\labelitemii}{$\rightarrow$}
\renewcommand{\labelitemiii}{$\rightarrow$}
\renewcommand{\labelitemiv}{$\rightarrow$}
\begin{itemize}
\item ip\_cores/
\item conv-ttl-blo/hdl/
\begin{itemize}
\item bicolor\_led\_ctrl/
\begin{itemize}
\item \textit{bicolor\_led\_ctrl.vhd}
\item \textit{bicolor\_led\_ctrl\_pkg.vhd}
\end{itemize}
\item glitch\_filt/
\begin{itemize}
\item doc/
\item rtl/
\begin{itemize}
\item \textit{glitch\_filt.vhd}
\end{itemize}
\end{itemize}
\textbf
{
\item release/
\begin{itemize}
\item rtl/
\begin{itemize}
\item \textit{conv\_regs.vhd}
\end{itemize}
\item top/
\begin{itemize}
\item \textit{conv\_ttl\_blo\_v2.vhd}
\item \textit{conv\_ttl\_blo\_v2.ucf}
\end{itemize}
\end{itemize}
}
\item ctb\_pulse\_gen/
\begin{itemize}
\item rtl/
\begin{itemize}
\item \textit{ctb\_pulse\_gen.vhd}
\end{itemize}
\end{itemize}
\item reset\_gen/
\begin{itemize}
\item rtl/
\begin{itemize}
\item \textit{reset\_gen.vhd}
\end{itemize}
\end{itemize}
\item elma\_i2c/
\begin{itemize}
\item doc/
\begin{itemize}
\item elma\_i2c/
\item i2c\_slave/
\end{itemize}
\item rtl/
\begin{itemize}
\item \textit{i2c\_slave.vhd}
\item \textit{elma\_i2c.vhd}
\end{itemize}
\end{itemize}
\end{itemize}
\end{itemize}
The \textit{ip\_cores/} folder contains repository files that the firmware uses, such
as the Wishbone crossbar (\textit{xwb\_crossbar}). The modules that have been developed as
part of the CONV-TTL-BLO project are present in their own folders as sub-nodes of the
\textit{conv-ttl-blo/hdl/} folder. In general, the module files are present under an
\textit{rtl/} sub-folder; documentation files (if any) for the modules appear under a
\textit{doc/} sub-folder. The I$^2$C bridge module folder also contains the instantiated
\textit{i2c\_slave.vhd} file (see \textcolor{red}{\textbf{REFERENCE TO ELMA\_I2C}}) and the documentation for it.
The \textit{release/} folder is the main folder in the firmware pack, as can be seen from the
fact that it is bolded in the folder structure above. It contains top-level files in the
\textit{top/} folder (HDL and UCF file for pin definitions) and other specific modules in
the \textit{rtl/} folder.
%======================================================================================
% SEC: Getting Around the Code
%======================================================================================
\section{Getting Around the Code}
\label{sec:get-around}
As described above, the main part of the release firmware can be found in the
\textit{conv-ttl-blo/hdl/pts/} folder. The top-level file is \textit{conv\_ttl\_blo\_v2.vhd}.
Ports and signals usually follow the coding guideline at~\cite{coding-guidelines}. Most of the
top-level ports of the firmware are lower-case versions of their schematics counterparts. The
exceptions from this are due to either net names that could not be syntactically represented in
VHDL, or net names that have been made clearer in VHDL code. Input ports are assigned to architecture
signals and signals are assigned to output ports in each code section, as appropriate.
The declarative part of the module architectures is as shown in Figure~\ref{fig:declarative}.
It starts
\begin{figure}[h]
\centerline{\includegraphics[scale=.8]{fig/declarative}}
\caption{Declarative part of VHDL architecture}
\label{fig:declarative}
\end{figure}
The top module architecture is divided into sections, delimited by visible
comments. For example, code pertaining to a certain test, code pertaining
to more than one test, or general top-level code can go into a code section.
The declarative part of the architecture is organized as shown in Figure~\ref{fig:declarative}.
Types are declared right after the architecture declaration, followed by con-
stant declarations, followed by component declarations, after which the var-
ious signals are declared.
\begin{figure}[h]
\centerline{\includegraphics[scale=.6]{fig/body}}
\caption{Body of VHDL architecture}
\label{fig:body}
\end{figure}
The body of the architecture is organised as showin in Figure~\ref{fig:body}. It begins
by instantiating a differential buffer for the 125~MHz system clock and instantiating the
\textit{reset\_gen} component. Then, the \textit{elma\_i2c} bridge module is instantiated
along with the Wishbone crossbar that offers access to the rest of the Wishbone modules in
the design. Next, the general-purpose PTS register (see Sec.~\ref{sec:pts_regs}) module is
instantiated, followed by logic necessary for each of the tests comprising PTS.
%==============================================================================
% SEC: Glitch filt
% Appendices
%==============================================================================
\section{Glitch filter}
\label{sec:glitch-filt}
\pagebreak
\begin{appendices}
%------------------------------------------------------------------------------
% APP: Memmap
%------------------------------------------------------------------------------
\section{Memory map}
\label{app:memmap}
Table~\ref{tbl:memmap} shows the complete memory map of the firmware. The
following sections list the memory map of each peripheral.
\begin{table}[h]
\caption{CONV-TTL-BLO memory map}
\label{tbl:memmap}
\centerline
{
\begin{tabular}{l l l p{.4\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Periph.}} & \multicolumn{2}{c}{\textbf{Address}} & \multicolumn{1}{c}{\textbf{Description}} \\
& \multicolumn{1}{c}{\textbf{Base}} & \multicolumn{1}{c}{\textbf{End}} & \\
\hline
CSR & 0x000 & 0x010 & Control and status register \\
\hline
\end{tabular}
}
\end{table}
%------------------------------------------------------------------------------
% APP: CSR
%------------------------------------------------------------------------------
\section{Control and status registers}
\label{app:memmap-csr}
\indent Base address: 0x000
\begin{table}[h]
\begin{tabular}{l c p{.6\textwidth}}
\textbf{Offset} & \textbf{ELMA reg} & \textbf{Description} \\
0x0 & 1 & Board ID register \\
0x4 & 2 & Status register \\
0x8 & 3 & Reserved \\
0xC & 4 & Reserved \\
\end{tabular}
\end{table}
\noindent Reserved addresses read undefined and should be written as 0x00000000.
%------------------------------------------------------------------------------
\subsection{Board ID register}
\begin{tabular}{l l c c l}
\textbf{Bits} & \textbf{Field} & \textbf{Access} & \textbf{Default} & \textbf{Description} \\
31..0 & \textit{id} & R/O & 0x424c4f32 & Board ID \\
\end{tabular}
\vspace*{11pt}
\noindent
{
\begin{tabular}{l l}
\textbf{Field} & \textbf{Description} \\
\textit{id} & Board ID (ASCII string \textbf{BLO2}) \\
\end{tabular}
}
%------------------------------------------------------------------------------
\subsection{Status register}
\begin{tabular}{l l c c l}
\textbf{Bits} & \textbf{Field} & \textbf{Access} & \textbf{Default} & \textbf{Description} \\
15..0 & \textit{fwvers} & R/O & X & Firmware version \\
23..16 & \textit{switches} & R/O & X & Switch status \\
29..24 & \textit{rtm} & R/O & X & RTM detection lines \\
31..30 & \textit{reserved} & R/O & X & \\
\end{tabular}
\noindent
{
\begin{tabular}{l p{.8\textwidth}}
\textbf{Field} & \textbf{Description} \\
\textit{fwvers} & Firmware version, hex value containing the firmware version \newline
-- leftmost byte \textit{hex value} is major release \textit{decimal value} \newline
-- rightmost byte \textit{hex value} is minor release \textit{decimal value} \newline
e.g. \newline
0x0101 -- v1.01\newline
0x0107 -- v1.07 \newline
0x0274 -- v2.74 \newline
etc. \\
\textit{switches} & Current switch status \newline
bit 0 -- SW1.1 \newline
bit 1 -- SW1.2 \newline
... \newline
bit 7 -- SW2.4 \newline
\textbf{1} -- switch is \textbf{OFF} \newline
\textbf{0} -- switch is \textbf{ON} \\
\textit{rtm} & RTM detection lines status \newline
\textbf{0} -- line active \newline
\textbf{1} -- line inactive \\
\textit{reserved} & Write as '0'; read undefined \\
\end{tabular}
}
\end{appendices}
%==============================================================================
% Bibliography
......
......@@ -479,7 +479,7 @@ inhibit pulses arriving from the blocking side.
\label{sec:pulse-jit}
The PG block incorporates a glitch filter that can prevent pulses being generated as a
result of a glitch occuring on input channels. The glitch filter can be enabled via
result of a glitch occurring on input channels. The glitch filter can be enabled via
SW1.1 (Figure~\ref{fig:switches-ptype}).
\begin{figure}[htbp]
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment