Commit 669b9ba3 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

Compiled gateware for release version

parent 3395277e
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -163,7 +163,7 @@ architecture arch of conv_ttl_rs485 is
constant c_board_id : std_logic_vector(31 downto 0) := x"54343835";
-- Gateware version
constant c_gwvers : std_logic_vector(7 downto 0) := x"00";
constant c_gwvers : std_logic_vector(7 downto 0) := x"10";
--============================================================================
-- Type declarations
......@@ -331,11 +331,11 @@ begin
g_gwvers => c_gwvers,
g_pgen_fixed_width => false,
g_pgen_gf_len => 1,
--g_with_pulse_cnt => true,
--g_with_pulse_timetag => true,
--g_with_man_trig => true,
--g_man_trig_pwidth => 24,
--g_with_thermometer => true,
g_with_pulse_cnt => true,
g_with_pulse_timetag => true,
g_with_man_trig => true,
g_man_trig_pwidth => 24,
g_with_thermometer => true,
g_bicolor_led_columns => c_bicolor_led_cols,
g_bicolor_led_lines => c_bicolor_led_lines
)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment