Commit 7d1e1f2c authored by Evangelia Gousiou's avatar Evangelia Gousiou

added TTL bar test

parent 8301a504
This diff is collapsed.
......@@ -142,6 +142,7 @@ package testbench_pkg is
-- Components declarations
--============================================================================
component conv_ttl_rs485 is
generic (g_simul : boolean := FALSE);
port
(
-- Clocks
......@@ -301,21 +302,21 @@ package body testbench_pkg is
signal pcbrev : out std_logic_vector(5 downto 0);
signal rtm : out std_logic_vector(5 downto 0)) is
begin
sw_gp_n(7) <= glitch_filter_en;
sw_gp_n(0) <= not glitch_filter_en;
if glitch_filter_en = '1' then
print_now("Glitch filter enabled");
else
print_now("Glitch filter disabled");
end if;
sw_gp_n(0) <= ttl_out_bar_en;
sw_gp_n(7) <= ttl_out_bar_en;
if ttl_out_bar_en = '1' then
print_now("TTL output BAR enabled");
else
print_now("TTL output BAR disabled");
end if;
print_now_s_std("RTMP & RTMM set to x", C_RTM);
--print_now_s_std("RTMP & RTMM set to x", C_RTM);
sw_gp_n(6 downto 1) <= (others => '0'); -- not used
sw_other <= (others => '0'); -- not used
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment