Commit d99c2e1e authored by unknown's avatar unknown

Repo cleanup. Removed pdf files

parent f2dbf09f
\relax
\providecommand\hyper@newdestlabel[2]{}
\@setckpt{cern-title}{
\setcounter{page}{1}
\setcounter{equation}{0}
\setcounter{enumi}{0}
\setcounter{enumii}{0}
\setcounter{enumiii}{0}
\setcounter{enumiv}{0}
\setcounter{footnote}{0}
\setcounter{mpfootnote}{0}
\setcounter{part}{0}
\setcounter{section}{0}
\setcounter{subsection}{0}
\setcounter{subsubsection}{0}
\setcounter{paragraph}{0}
\setcounter{subparagraph}{0}
\setcounter{figure}{0}
\setcounter{table}{0}
\setcounter{parentequation}{0}
\setcounter{Item}{0}
\setcounter{Hfootnote}{0}
\setcounter{bookmark@seq@number}{0}
\setcounter{@pps}{0}
\setcounter{@ppsavesec}{0}
\setcounter{@ppsaveapp}{0}
\setcounter{section@level}{0}
}
\relax
\providecommand\hyper@newdestlabel[2]{}
\providecommand\HyperFirstAtBeginDocument{\AtBeginDocument}
\HyperFirstAtBeginDocument{\ifx\hyper@anchor\@undefined
\global\let\oldcontentsline\contentsline
\gdef\contentsline#1#2#3#4{\oldcontentsline{#1}{#2}{#3}}
\global\let\oldnewlabel\newlabel
\gdef\newlabel#1#2{\newlabelxx{#1}#2}
\gdef\newlabelxx#1#2#3#4#5#6{\oldnewlabel{#1}{{#2}{#3}}}
\AtEndDocument{\ifx\hyper@anchor\@undefined
\let\contentsline\oldcontentsline
\let\newlabel\oldnewlabel
\fi}
\fi}
\global\let\hyper@last\relax
\gdef\HyperFirstAtBeginDocument#1{#1}
\providecommand\HyField@AuxAddToFields[1]{}
\providecommand\HyField@AuxAddToCoFields[2]{}
\@input{cern-title.aux}
\@writefile{toc}{\contentsline {section}{Licensing information}{1}{Doc-Start}}
\@writefile{toc}{\contentsline {section}{Revision history}{1}{section*.2}}
\@writefile{toc}{\contentsline {section}{List of abbreviations}{4}{section*.6}}
\citation{white-rabbit}
\@writefile{toc}{\contentsline {section}{\numberline {1}Introduction}{5}{section.1}}
\newlabel{sec:intro}{{1}{5}{Introduction}{section.1}{}}
\@writefile{lof}{\contentsline {figure}{\numberline {1}{\ignorespaces TTL to RS485 pulse conversion system}}{5}{figure.1}}
\newlabel{fig:conv-sys-bd}{{1}{5}{TTL to RS485 pulse conversion system}{figure.1}{}}
\citation{ctrs-proj}
\citation{sch}
\citation{rtmp-sch-db9}
\citation{ctrs-ug}
\citation{ctrs-hdlguide}
\@writefile{toc}{\contentsline {subsection}{\numberline {1.1}Additional documentation}{6}{subsection.1.1}}
\citation{sch}
\citation{svec}
\@writefile{toc}{\contentsline {section}{\numberline {2}Front module}{7}{section.2}}
\newlabel{sec:ctrs}{{2}{7}{Front module}{section.2}{}}
\@writefile{lof}{\contentsline {figure}{\numberline {2}{\ignorespaces Block diagram of CONV-TTL-RS485 board}}{7}{figure.2}}
\newlabel{fig:conv-rs485-sys-bd}{{2}{7}{Block diagram of CONV-TTL-RS485 board}{figure.2}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.1}VME connector}{7}{subsection.2.1}}
\newlabel{sec:vme}{{2.1}{7}{VME connector}{subsection.2.1}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.2}Power supplies}{8}{subsection.2.2}}
\newlabel{sec:ctrs-power}{{2.2}{8}{Power supplies}{subsection.2.2}{}}
\@writefile{lot}{\contentsline {table}{\numberline {1}{\ignorespaces Voltage levels on CONV-TTL-RS485}}{8}{table.1}}
\newlabel{tbl:voltage-levels}{{1}{8}{Voltage levels on CONV-TTL-RS485}{table.1}{}}
\citation{spec}
\citation{ctrs-hdlguide}
\citation{ctrs-ug}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.3}Clock circuits}{9}{subsection.2.3}}
\newlabel{sec:clocks}{{2.3}{9}{Clock circuits}{subsection.2.3}{}}
\@writefile{lot}{\contentsline {table}{\numberline {2}{\ignorespaces Clocks on CONV-TTL-RS485}}{9}{table.2}}
\newlabel{tbl:clocks}{{2}{9}{Clocks on CONV-TTL-RS485}{table.2}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.4}FPGA}{9}{subsection.2.4}}
\newlabel{sec:fpga}{{2.4}{9}{FPGA}{subsection.2.4}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.5}TTL pulse repetition}{10}{subsection.2.5}}
\newlabel{sec:ttl}{{2.5}{10}{TTL pulse repetition}{subsection.2.5}{}}
\@writefile{toc}{\contentsline {subsubsection}{\numberline {2.5.1}TTL input stage}{10}{subsubsection.2.5.1}}
\@writefile{lof}{\contentsline {figure}{\numberline {3}{\ignorespaces TTL pulse input stage}}{10}{figure.3}}
\newlabel{fig:ttl-inp}{{3}{10}{TTL pulse input stage}{figure.3}{}}
\@writefile{toc}{\contentsline {subsubsection}{\numberline {2.5.2}TTL output stage}{10}{subsubsection.2.5.2}}
\@writefile{lof}{\contentsline {figure}{\numberline {4}{\ignorespaces TTL pulse output stage}}{11}{figure.4}}
\newlabel{fig:ttl-out}{{4}{11}{TTL pulse output stage}{figure.4}{}}
\@writefile{lof}{\contentsline {figure}{\numberline {5}{\ignorespaces TTL pulse output enable signals}}{11}{figure.5}}
\newlabel{fig:ttl-out-en}{{5}{11}{TTL pulse output enable signals}{figure.5}{}}
\citation{sn65hvd3088ed}
\citation{comp-rs485-trans}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.6}RS485 pulse repetition}{12}{subsection.2.6}}
\newlabel{sec:rs485}{{2.6}{12}{RS485 pulse repetition}{subsection.2.6}{}}
\@writefile{toc}{\contentsline {subsubsection}{\numberline {2.6.1}RS485 input stage}{12}{subsubsection.2.6.1}}
\newlabel{sec:rs485-inp}{{2.6.1}{12}{RS485 input stage}{subsubsection.2.6.1}{}}
\@writefile{lof}{\contentsline {figure}{\numberline {6}{\ignorespaces RS485 pulse input stage}}{12}{figure.6}}
\newlabel{fig:rs485-inp}{{6}{12}{RS485 pulse input stage}{figure.6}{}}
\citation{sn65hvd3088ed}
\citation{ti-sig-loss}
\citation{ctrs-ug}
\citation{ctrs-ug}
\@writefile{toc}{\contentsline {subsubsection}{\numberline {2.6.2}RS485 output stage}{13}{subsubsection.2.6.2}}
\newlabel{sec:rs485-out}{{2.6.2}{13}{RS485 output stage}{subsubsection.2.6.2}{}}
\@writefile{lof}{\contentsline {figure}{\numberline {7}{\ignorespaces RS485 pulse output stage}}{13}{figure.7}}
\newlabel{fig:rs485-out}{{7}{13}{RS485 pulse output stage}{figure.7}{}}
\citation{rtmdet}
\citation{svec}
\citation{ctrs-hdlguide}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.7}SFP connector}{15}{subsection.2.7}}
\newlabel{sec:sfp}{{2.7}{15}{SFP connector}{subsection.2.7}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.8}Thermometer and flash chip}{15}{subsection.2.8}}
\newlabel{sec:thermo-flash}{{2.8}{15}{Thermometer and flash chip}{subsection.2.8}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.9}RTM detection}{15}{subsection.2.9}}
\newlabel{sec:rmtdet}{{2.9}{15}{RTM detection}{subsection.2.9}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.10}Status and pulse LEDs}{15}{subsection.2.10}}
\newlabel{sec:leds}{{2.10}{15}{Status and pulse LEDs}{subsection.2.10}{}}
\@writefile{lof}{\contentsline {figure}{\numberline {8}{\ignorespaces RTM detection circuit}}{16}{figure.8}}
\newlabel{fig:rtmdet}{{8}{16}{RTM detection circuit}{figure.8}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.11}PCB version}{16}{subsection.2.11}}
\newlabel{sec:diag-pcbvers}{{2.11}{16}{PCB version}{subsection.2.11}{}}
\citation{rtmm-sch}
\citation{(}
\citation{crosstalk}
\citation{(}
\@writefile{lof}{\contentsline {figure}{\numberline {9}{\ignorespaces Hard-wired PCB versioning}}{17}{figure.9}}
\newlabel{fig:pcb-version}{{9}{17}{Hard-wired PCB versioning}{figure.9}{}}
\@writefile{toc}{\contentsline {section}{\numberline {3}Rear-Transition Module}{17}{section.3}}
\newlabel{sec:rtm}{{3}{17}{Rear-Transition Module}{section.3}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {3.1}RTM Motherboard}{17}{subsection.3.1}}
\@writefile{lof}{\contentsline {figure}{\numberline {10}{\ignorespaces Capacitors straddled on the TVS diodes on some RTMM cards}}{18}{figure.10}}
\newlabel{fig:straddled}{{10}{18}{Capacitors straddled on the TVS diodes on some RTMM cards}{figure.10}{}}
\citation{rtmp-sch-db9}
\citation{rtmp-sch-lemo}
\@writefile{toc}{\contentsline {subsection}{\numberline {3.2}RTM Piggyback}{19}{subsection.3.2}}
\bibstyle{ieeetr}
\bibdata{hwg-conv-ttl-rs485}
\bibcite{white-rabbit}{1}
\bibcite{ctrs-proj}{2}
\bibcite{sch}{3}
\bibcite{rtmp-sch-db9}{4}
\bibcite{ctrs-ug}{5}
\bibcite{ctrs-hdlguide}{6}
\bibcite{svec}{7}
\bibcite{spec}{8}
\bibcite{sn65hvd3088ed}{9}
\bibcite{comp-rs485-trans}{10}
\bibcite{ti-sig-loss}{11}
\bibcite{rtmdet}{12}
\bibcite{rtmm-sch}{13}
\bibcite{crosstalk}{14}
\bibcite{rtmp-sch-lemo}{15}
\@writefile{toc}{\contentsline {section}{References}{20}{section*.7}}
\begin{thebibliography}{10}
\bibitem{white-rabbit}
``{White Rabbit}.'' \url{http://www.ohwr.org/projects/white-rabbit}.
\bibitem{ctrs-proj}
``{Conv TTL RS485 Project Page on OHWR}.''
\url{http://www.ohwr.org/projects/conv-ttl-rs485}.
\bibitem{sch}
``{CONV-TTL-RS485 Schematics}.'' \url{https://edms.cern.ch/project/EDA-02541}.
\bibitem{rtmp-sch-db9}
``{D-Sub9 RTM Piggyback Schematics}.''
\url{https://edms.cern.ch/item/EDA-03712-V1-0/0}.
\bibitem{ctrs-ug}
C.~BE-CO-HT, ``{CONV-TTL-RS485 User Guide}.''
\url{http://www.ohwr.org/documents/?}, 12 2017.
\bibitem{ctrs-hdlguide}
C.~BE-CO-HT, ``{CONV-TTL-RS485 HDL Guide}.''
\url{http://www.ohwr.org/documents/?}, 12 2017.
\bibitem{svec}
``{Simple VME FMC Carrier (SVEC)}.'' \url{http://www.ohwr.org/projects/svec}.
\bibitem{spec}
``{Simple PCIE FMC Carrier (SPEC)}.'' \url{http://www.ohwr.org/projects/spec}.
\bibitem{sn65hvd3088ed}
``{SNx5HVD308xE Low-Power RS-485 Transceivers Datasheet}.''
\url{http://www.ti.com/lit/ds/symlink/sn65hvd3085e.pdf}.
\bibitem{comp-rs485-trans}
``{Comparison of RS-485 transceivers}.''
\url{https://www.ohwr.org/projects/conv-ttl-rs485/wiki/rs485transceivers}.
\bibitem{ti-sig-loss}
``{SDetection of RS-485 signal loss}.''
\url{http://www.ti.com/lit/an/slyt257/slyt257.pdf}.
\bibitem{rtmdet}
``{Rear Transition Module Detection}.''
\url{http://www.ohwr.org/projects/conv-ttl-blo/wiki/RTM_board_detection}.
\bibitem{rtmm-sch}
``{RTM Motherboard Schematics}.''
\url{https://edms.cern.ch/file/1318265/1/EDA-02452-V3-0_sch.pdf}.
\bibitem{crosstalk}
T.-A. Stana, ``{Differential-mode interference due to common-mode current from
flyback transformer}.'' \url{http://www.ohwr.org/documents/335}.
\bibitem{rtmp-sch-lemo}
``{LEMO 0S RTM Piggyback Schematics}.''
\url{https://edms.cern.ch/file/1405205/1/EDA-02453-V2-0_sch.pdf}.
\end{thebibliography}
@misc{ctrs-ug,
author = "CERN BE-CO-HT",
title = {{CONV-TTL-RS485 User Guide}},
day = 25,
month = 12,
year = 2017,
howpublished = {\url{http://www.ohwr.org/documents/?}}
}
@misc{ctrs-hdlguide,
author = "CERN BE-CO-HT",
title = {{CONV-TTL-RS485 HDL Guide}},
month = 12,
year = 2017,
howpublished = {\url{http://www.ohwr.org/documents/?}}
}
@misc{sysmon-i2c,
author = "{ELMA}",
title = {{Access to board data using SNMP and I2C}},
howpublished = {\url{http://www.ohwr.org/attachments/download/2324/ELMA_SNMP_specification.pdf}}
}
@misc{white-rabbit,
title = {{White Rabbit}},
howpublished = {\url{http://www.ohwr.org/projects/white-rabbit}}
}
@misc{sch,
title = {{CONV-TTL-RS485 Schematics}},
howpublished = {\url{https://edms.cern.ch/project/EDA-02541}}
}
@misc{rtmm-sch,
title = {{RTM Motherboard Schematics}},
howpublished = {\url{https://edms.cern.ch/file/1318265/1/EDA-02452-V3-0_sch.pdf}}
}
@misc{rtmp-sch-lemo,
title = {{LEMO 0S RTM Piggyback Schematics}},
howpublished = {\url{https://edms.cern.ch/file/1405205/1/EDA-02453-V2-0_sch.pdf}}
}
@misc{rtmp-sch-db9,
title = {{D-Sub9 RTM Piggyback Schematics}},
howpublished = {\url{https://edms.cern.ch/item/EDA-03712-V1-0/0}}
}
@misc{spec,
title = {{Simple PCIE FMC Carrier (SPEC)}},
howpublished = {\url{http://www.ohwr.org/projects/spec}}
}
@misc{svec,
title = {{Simple VME FMC Carrier (SVEC)}},
howpublished = {\url{http://www.ohwr.org/projects/svec}}
}
@misc{crosstalk,
author = "Theodor-Adrian Stana",
title = {{Differential-mode interference due to common-mode current from flyback transformer}},
howpublished = {\url{http://www.ohwr.org/documents/335}}
}
@misc{rtmdet,
title = {{Rear Transition Module Detection}},
howpublished = {\url{http://www.ohwr.org/projects/conv-ttl-blo/wiki/RTM_board_detection}}
}
@misc{ctb-proj,
title = {{Conv TTL Blocking Project Page on OHWR}},
howpublished = {\url{http://www.ohwr.org/projects/conv-ttl-blo}}
}
@misc{ctrs-proj,
title = {{Conv TTL RS485 Project Page on OHWR}},
howpublished = {\url{http://www.ohwr.org/projects/conv-ttl-rs485}}
}
@misc{comp-rs485-trans,
title = {{Comparison of RS-485 transceivers}},
howpublished = {\url{https://www.ohwr.org/projects/conv-ttl-rs485/wiki/rs485transceivers}}
}
@misc{sn65hvd3088ed,
title = {{SNx5HVD308xE Low-Power RS-485 Transceivers Datasheet}},
howpublished = {\url{http://www.ti.com/lit/ds/symlink/sn65hvd3085e.pdf}}
}
@misc{ti-sig-loss,
title = {{SDetection of RS-485 signal loss}},
howpublished = {\url{http://www.ti.com/lit/an/slyt257/slyt257.pdf}}
}
\ No newline at end of file
This is BibTeX, Version 0.99dThe top-level auxiliary file: hwg-conv-ttl-rs485.aux
A level-1 auxiliary file: cern-title.aux
The style file: ieeetr.bst
Database file #1: hwg-conv-ttl-rs485.bib
\contentsline {figure}{\numberline {1}{\ignorespaces TTL to RS485 pulse conversion system}}{5}{figure.1}
\contentsline {figure}{\numberline {2}{\ignorespaces Block diagram of CONV-TTL-RS485 board}}{7}{figure.2}
\contentsline {figure}{\numberline {3}{\ignorespaces TTL pulse input stage}}{10}{figure.3}
\contentsline {figure}{\numberline {4}{\ignorespaces TTL pulse output stage}}{11}{figure.4}
\contentsline {figure}{\numberline {5}{\ignorespaces TTL pulse output enable signals}}{11}{figure.5}
\contentsline {figure}{\numberline {6}{\ignorespaces RS485 pulse input stage}}{12}{figure.6}
\contentsline {figure}{\numberline {7}{\ignorespaces RS485 pulse output stage}}{13}{figure.7}
\contentsline {figure}{\numberline {8}{\ignorespaces RTM detection circuit}}{16}{figure.8}
\contentsline {figure}{\numberline {9}{\ignorespaces Hard-wired PCB versioning}}{17}{figure.9}
\contentsline {figure}{\numberline {10}{\ignorespaces Capacitors straddled on the TVS diodes on some RTMM cards}}{18}{figure.10}
This diff is collapsed.
\contentsline {table}{\numberline {1}{\ignorespaces Voltage levels on CONV-TTL-RS485}}{8}{table.1}
\contentsline {table}{\numberline {2}{\ignorespaces Clocks on CONV-TTL-RS485}}{9}{table.2}
\BOOKMARK [1][-]{Doc-Start}{Licensing information}{}% 1
\BOOKMARK [1][-]{section*.2}{Revision history}{}% 2
\BOOKMARK [1][-]{toc.1}{Contents}{}% 3
\BOOKMARK [1][-]{section*.6}{List of abbreviations}{}% 4
\BOOKMARK [1][-]{section.1}{Introduction}{}% 5
\BOOKMARK [2][-]{subsection.1.1}{Additional documentation}{section.1}% 6
\BOOKMARK [1][-]{section.2}{Front module}{}% 7
\BOOKMARK [2][-]{subsection.2.1}{VME connector}{section.2}% 8
\BOOKMARK [2][-]{subsection.2.2}{Power supplies}{section.2}% 9
\BOOKMARK [2][-]{subsection.2.3}{Clock circuits}{section.2}% 10
\BOOKMARK [2][-]{subsection.2.4}{FPGA}{section.2}% 11
\BOOKMARK [2][-]{subsection.2.5}{TTL pulse repetition}{section.2}% 12
\BOOKMARK [3][-]{subsubsection.2.5.1}{TTL input stage}{subsection.2.5}% 13
\BOOKMARK [3][-]{subsubsection.2.5.2}{TTL output stage}{subsection.2.5}% 14
\BOOKMARK [2][-]{subsection.2.6}{RS485 pulse repetition}{section.2}% 15
\BOOKMARK [3][-]{subsubsection.2.6.1}{RS485 input stage}{subsection.2.6}% 16
\BOOKMARK [3][-]{subsubsection.2.6.2}{RS485 output stage}{subsection.2.6}% 17
\BOOKMARK [2][-]{subsection.2.7}{SFP connector}{section.2}% 18
\BOOKMARK [2][-]{subsection.2.8}{Thermometer and flash chip}{section.2}% 19
\BOOKMARK [2][-]{subsection.2.9}{RTM detection}{section.2}% 20
\BOOKMARK [2][-]{subsection.2.10}{Status and pulse LEDs}{section.2}% 21
\BOOKMARK [2][-]{subsection.2.11}{PCB version}{section.2}% 22
\BOOKMARK [1][-]{section.3}{Rear-Transition Module}{}% 23
\BOOKMARK [2][-]{subsection.3.1}{RTM Motherboard}{section.3}% 24
\BOOKMARK [2][-]{subsection.3.2}{RTM Piggyback}{section.3}% 25
\BOOKMARK [1][-]{section*.7}{References}{}% 26
[FormatInfo]
Type=TeXnicCenterProjectInformation
Version=4
[ProjectInfo]
MainFile=hwg-conv-ttl-rs485.tex
UseBibTeX=0
UseMakeIndex=0
ActiveProfile=LaTeX ⇨ PDF
ProjectLanguage=en
ProjectDialect=US
\contentsline {section}{Licensing information}{1}{Doc-Start}
\contentsline {section}{Revision history}{1}{section*.2}
\contentsline {section}{List of abbreviations}{4}{section*.6}
\contentsline {section}{\numberline {1}Introduction}{5}{section.1}
\contentsline {subsection}{\numberline {1.1}Additional documentation}{6}{subsection.1.1}
\contentsline {section}{\numberline {2}Front module}{7}{section.2}
\contentsline {subsection}{\numberline {2.1}VME connector}{7}{subsection.2.1}
\contentsline {subsection}{\numberline {2.2}Power supplies}{8}{subsection.2.2}
\contentsline {subsection}{\numberline {2.3}Clock circuits}{9}{subsection.2.3}
\contentsline {subsection}{\numberline {2.4}FPGA}{9}{subsection.2.4}
\contentsline {subsection}{\numberline {2.5}TTL pulse repetition}{10}{subsection.2.5}
\contentsline {subsubsection}{\numberline {2.5.1}TTL input stage}{10}{subsubsection.2.5.1}
\contentsline {subsubsection}{\numberline {2.5.2}TTL output stage}{10}{subsubsection.2.5.2}
\contentsline {subsection}{\numberline {2.6}RS485 pulse repetition}{12}{subsection.2.6}
\contentsline {subsubsection}{\numberline {2.6.1}RS485 input stage}{12}{subsubsection.2.6.1}
\contentsline {subsubsection}{\numberline {2.6.2}RS485 output stage}{13}{subsubsection.2.6.2}
\contentsline {subsection}{\numberline {2.7}SFP connector}{15}{subsection.2.7}
\contentsline {subsection}{\numberline {2.8}Thermometer and flash chip}{15}{subsection.2.8}
\contentsline {subsection}{\numberline {2.9}RTM detection}{15}{subsection.2.9}
\contentsline {subsection}{\numberline {2.10}Status and pulse LEDs}{15}{subsection.2.10}
\contentsline {subsection}{\numberline {2.11}PCB version}{16}{subsection.2.11}
\contentsline {section}{\numberline {3}Rear-Transition Module}{17}{section.3}
\contentsline {subsection}{\numberline {3.1}RTM Motherboard}{17}{subsection.3.1}
\contentsline {subsection}{\numberline {3.2}RTM Piggyback}{19}{subsection.3.2}
\contentsline {section}{References}{20}{section*.7}
[FormatInfo]
Type=TeXnicCenterProjectSessionInformation
Version=2
[Frame0]
Flags=0
ShowCmd=1
MinPos.x=-1
MinPos.y=-1
MaxPos.x=-1
MaxPos.y=-1
NormalPos.left=4
NormalPos.top=26
NormalPos.right=1640
NormalPos.bottom=698
Class=LaTeXView
Document=hwg-conv-ttl-rs485.tex
[Frame0_View0,0]
TopLine=490
Cursor=20193
[Frame1]
Flags=0
ShowCmd=1
MinPos.x=-1
MinPos.y=-1
MaxPos.x=-1
MaxPos.y=-1
NormalPos.left=4
NormalPos.top=26
NormalPos.right=1640
NormalPos.bottom=698
Class=LaTeXView
Document=cern-title.tex
[Frame1_View0,0]
TopLine=0
Cursor=394
[SessionInfo]
FrameCount=2
ActiveFrame=0
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment