Commit 77c1548c authored by gilsoriano@gmail.com's avatar gilsoriano@gmail.com

Latest modifications from Jean-Claude

parent ec2cc336
LAYOUT NOTES
POSITIONING/LAYOUT OF THE COMPONENTS
** 5V and 3V3 POWER SUPPLY PI FILTERS
The pi filters are a little bit bulky due to the OSCON capacitor. Most of the
power lines from VME are in the lower part of P1 connector, so the snippets can
be placed in between P1 and P2, respecting the spacing to the end of the board
(better if OSCONs are not the closest capacitors to the border, because they
can get accidentally damaged due to its bigger height).
Small pi filters for HS lines should be placed as close as possible to their
respective ICs.
** POWER SUPPLY
This design only has a switching power supply. Recommended layout from Texas can
be found in the datasheet and it should be followed. If better layout can be
done, do not hesitate to tell! :-)
The 3V3_VME net is located in P1 connector. This is the net that, after the pi
filter, will offer the power to the input of thee switching power supplies. So,
the PS should be positioned in the upper mid part of the VME board.
** I2C and VME GA pins
This board is not using VME bus. Instead is only underlying in SERA, SERB pins
from VME64x standard.
The buffer for these two lines should be placed close to P1 connector.
** RS485 DRIVERS
This board is intended to be used together with a separate second board.
This additional board, namely a Rear Transition Module, will be connected in
the rear part of a VME crate and will interconnect lines with the Front VME
board via P2 connector.
The lines connected by the P2 connector will be the RS485 I/O lines. Hence,
all the RS485 drivers must be placed in the lower mid part of the double height
VME board.
** FPGA
Pin swapping can be performed in most of the FPGA. Do not do pin swapping in
PROM lines. If clock lines are moved please assign it to GLCK pins.
** EXTERNAL PLL
Better if this part is positioned away from the RS485 drivers. It can be placed
as in CONV-TTL-BLO in the upper part inbetween SFP connector and FPGA.
Same for the the DACs fetched into it.
** FLASH MEMORY
The closer to FPGA, the better.
** FRONT PANEL
If possible, it should be placed the SFP connector and the double LEMOs in
exactly the same fashion.
For the LEDs, three rows of LEDs will be placed in the upper part of the board.
The first LED out of four in the pack must be the one that goes closer to the
board.
Starting from the top, the first row corresponds to LED_SYS_PW_N, the second one
to LED_MULTICAST1_N and the third one to LED_WR_OWN_ADDR_N
** SWITCHES
There are two micro-switches:
- 8 switch: applies serigraphie for individual switch.
- 4 switch: it should have serigraphie with "MULTICAST ADDR" and markings "MSB"
and "LSB"
** SERIGRAPHIE
Please, do not put CERN logo. Instead put
"http://www.ohwr.org/projects/conv-ttl-rs485/"
"Conv-TTL-RS485"
"[EDA number]"
LAYERS
It should fit in a six layers board.
HS LINES
The ones of the clocking resources and the FPGA MGT. MGTRREF must be equalized
as it is specified by Spartan 6 layout notes.
FRONT PANEL
It must follow a similar design to CONV-TTL-BLO front panel with the exception
of the top three rows of LEDs.
......@@ -31,13 +31,13 @@ PushECOToAnnotationFile=1
DItemRevisionGUID=
[Document1]
DocumentPath=Schematics\Clocks&Monitor.SchDoc
DocumentPath=Schematics\PowerSupply.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=0
AnnotateOrder=4
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
......@@ -47,13 +47,13 @@ DItemRevisionGUID=
GenerateClassCluster=0
[Document2]
DocumentPath=Schematics\Communication.SchDoc
DocumentPath=Schematics\FPGAbank.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=1
AnnotateOrder=3
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
......@@ -63,13 +63,13 @@ DItemRevisionGUID=
GenerateClassCluster=0
[Document3]
DocumentPath=Schematics\convTTLrs485_TOP.SchDoc
DocumentPath=Schematics\Clocks&Monitor.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=2
AnnotateOrder=0
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
......@@ -79,13 +79,13 @@ DItemRevisionGUID=
GenerateClassCluster=0
[Document4]
DocumentPath=Schematics\FPGAbank.SchDoc
DocumentPath=Schematics\VME64xConn.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=3
AnnotateOrder=9
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
......@@ -95,13 +95,13 @@ DItemRevisionGUID=
GenerateClassCluster=0
[Document5]
DocumentPath=Schematics\FPGAps.SchDoc
DocumentPath=Schematics\Communication.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=4
AnnotateOrder=1
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
......@@ -111,13 +111,13 @@ DItemRevisionGUID=
GenerateClassCluster=0
[Document6]
DocumentPath=Schematics\FrontPanelLeds.SchDoc
DocumentPath=Schematics\convTTLrs485_TOP.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=5
AnnotateOrder=2
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
......@@ -143,13 +143,13 @@ DItemRevisionGUID=
GenerateClassCluster=0
[Document8]
DocumentPath=Schematics\JTAG&Button.SchDoc
DocumentPath=Schematics\FrontPanelLeds.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=7
AnnotateOrder=5
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
......@@ -159,13 +159,13 @@ DItemRevisionGUID=
GenerateClassCluster=0
[Document9]
DocumentPath=Schematics\VME64xConn.SchDoc
DocumentPath=Schematics\Input RS485.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=9
AnnotateOrder=10
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
......@@ -175,13 +175,13 @@ DItemRevisionGUID=
GenerateClassCluster=0
[Document10]
DocumentPath=Schematics\Input RS485.SchDoc
DocumentPath=Schematics\Output RS485.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=10
AnnotateOrder=12
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
......@@ -191,13 +191,13 @@ DItemRevisionGUID=
GenerateClassCluster=0
[Document11]
DocumentPath=Schematics\Output RS485.SchDoc
DocumentPath=Schematics\Input Unit RS485.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=12
AnnotateOrder=11
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
......@@ -207,13 +207,13 @@ DItemRevisionGUID=
GenerateClassCluster=0
[Document12]
DocumentPath=Schematics\Input Unit RS485.SchDoc
DocumentPath=Schematics\Output Unit RS485.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=11
AnnotateOrder=13
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
......@@ -223,13 +223,13 @@ DItemRevisionGUID=
GenerateClassCluster=0
[Document13]
DocumentPath=Schematics\Output Unit RS485.SchDoc
DocumentPath=Schematics\JTAG&Button.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=13
AnnotateOrder=7
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
......@@ -254,29 +254,45 @@ ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
[Document15]
DocumentPath=LayoutREADME.txt
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=-1
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
[Parameter1]
Name=Projet
Value=*
Name=Author
Value=Carlos Gil Soriano
[Parameter2]
Name=Title
Value=CONV-TTL-RS485
Name=CreateDate
Value=12/03/2012
[Parameter3]
Name=Revision
Value=0
[Parameter4]
Name=Engineer
Value=Carlos Gil Soriano
[Parameter4]
Name=Projet
Value=
[Parameter5]
Name=CreateDate
Value=12/03/2012
Name=Revision
Value=0
[Parameter6]
Name=Author
Value=Carlos Gil Soriano
Name=Title
Value=CONV-TTL-RS485
[PCBConfiguration1]
ReleaseItemId=
......@@ -445,6 +461,8 @@ OutputName1=Mixed Sim
OutputDocumentPath1=
OutputVariantName1=
OutputDefault1=0
Configuration1_Name1=OutputConfigurationParameter1
Configuration1_Item1=Record=AdvSimNetlistView|SheetsToNetlist=1|NetlistType=1|AnalysesDataSavedIndex=2|SimView_KeepLastSetup=True|DC_Analysis=False|DC_PrimarySource= |DC_PrimaryStart=0|DC_PrimaryStop=0|DC_PrimaryStep=0|DC_EnableSecondary=False|DC_SecondarySource= |DC_SecondaryStart=0|DC_SecondaryStop=0|DC_SecondaryStep=0|AC_Analysis=False|AC_SweepType=LIN|AC_StartFrequency=1|AC_StopFrequency=1E6|AC_TestPoints=100|AC_FreqDomain=S|TRAN_Analysis=True|TRAN_AlwaysSetDefaults=True|TRAN_DefaultCycles=5|TRAN_DefaultPointsPerCycle=50|TRAN_StartTime=0|TRAN_StopTime=5E-6|TRAN_StepTime=2E-8|TRAN_MaxStepTime=2E-8|TRAN_FourierFundFreq=1E6|TRAN_FourierNumHarmonics=10|TRAN_FourierAnalysis=False|TRAN_UseInitialConditions=False|TF_Analysis=False|TF_Source= |TF_Reference=0|PZ_Analysis=False|PZ_Node1= |PZ_Node2=0|PZ_Node3= |PZ_Node4=0|PZ_TfType=VOL|PZ_AnalType=PZ|Noise_Analysis=False|Noise_Source= |Noise_Output= |Noise_Reference=0|Noise_Start=0|Noise_Stop=0|Noise_TestPoints=0|Noise_PointsPerSummary=0|Noise_SweepType=LIN|Op_Analysis=True|POP_Analysis=False|POP_TriggerCondition=0_TO_1|POP_MaxPeriod=0.0001|POP_ShowProgress=False|POP_MaxIterations=20|POP_Convergence=1E-14|POP_UseTransientSnapshot=False|POP_OutputCycles=5|POP_CyclesBeforeLaunch=5|ParamSweep_Analysis=False|ParamSweep_Parameter1= |ParamSweep_Start1=0|ParamSweep_Stop1=0|ParamSweep_Step1=0|ParamSweep_SweepType1=False|ParamSweep_SecondaryEnabled=False|ParamSweep_Parameter2= |ParamSweep_Start2=0|ParamSweep_Stop2=0|ParamSweep_Step2=0|ParamSweep_SweepType2=False|TempSweep_Analysis=False|TempSweep_Start=0|TempSweep_Stop=0|TempSweep_Step=0|MonteCarlo_Analysis=False|MonteCarlo_Seed=-1|MonteCarlo_DistributionType=UNIFORM|MonteCarlo_NumberOfRuns=5|MonteCarlo_ResistorTolerance=10%|MonteCarlo_InductorTolerance=10%|MonteCarlo_TransistorTolerance=10%|MonteCarlo_CapacitorTolerance=10%|MonteCarlo_DCSourceTolerance=10%|MonteCarlo_DigitalTpTolerance=10%|OPTION_Method=Trapezoidal|OPTION_DVCC=5.000|OPTION_DVDD=15.00|OPTION_SpiceRefNode=GND
OutputType2=SIMetrix_Sim
OutputName2=SIMetrix
OutputDocumentPath2=
......@@ -1103,7 +1121,7 @@ L16=WWWWNWWNWWWNWWWNW
L17=WNNNNNNNWNNNWWWWN
[Annotate]
SortOrder=3
SortOrder=1
MatchParameter1=Comment
MatchStrictly1=1
MatchParameter2=Library Reference
......
Record=SheetSymbol|SourceDocument=convTTLrs485_TOP.SchDoc|Designator=U_Clocks&Monitor|SchDesignator=U_Clocks&Monitor|FileName=Clocks&Monitor.SchDoc|SymbolType=Normal|RawFileName=Clocks&Monitor.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=convTTLrs485_TOP.SchDoc|Designator=U_Communication|SchDesignator=U_Communication|FileName=Communication.SchDoc|SymbolType=Normal|RawFileName=Communication.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=convTTLrs485_TOP.SchDoc|Designator=U_FPGAbank|SchDesignator=U_FPGAbank|FileName=FPGAbank.SchDoc|SymbolType=Normal|RawFileName=FPGAbank.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=convTTLrs485_TOP.SchDoc|Designator=U_FPGAps|SchDesignator=U_FPGAps|FileName=FPGAps.SchDoc|SymbolType=Normal|RawFileName=FPGAps.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=convTTLrs485_TOP.SchDoc|Designator=U_FrontPanelLeds|SchDesignator=U_FrontPanelLeds|FileName=FrontPanelLeds.SchDoc|SymbolType=Normal|RawFileName=FrontPanelLeds.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=convTTLrs485_TOP.SchDoc|Designator=U_FrontTTL|SchDesignator=U_FrontTTL|FileName=FrontTTL.SchDoc|SymbolType=Normal|RawFileName=FrontTTL.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=convTTLrs485_TOP.SchDoc|Designator=U_Input RS485|SchDesignator=U_Input RS485|FileName=Input RS485.SchDoc|SymbolType=Normal|RawFileName=Input RS485.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=convTTLrs485_TOP.SchDoc|Designator=U_JTAG&Button|SchDesignator=U_JTAG&Button|FileName=JTAG&Button.SchDoc|SymbolType=Normal|RawFileName=JTAG&Button.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=convTTLrs485_TOP.SchDoc|Designator=U_Output RS485|SchDesignator=U_Output RS485|FileName=Output RS485.SchDoc|SymbolType=Normal|RawFileName=Output RS485.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=convTTLrs485_TOP.SchDoc|Designator=U_PowerSupply|SchDesignator=U_PowerSupply|FileName=PowerSupply.SchDoc|SymbolType=Normal|RawFileName=PowerSupply.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=convTTLrs485_TOP.SchDoc|Designator=U_VME64xConn|SchDesignator=U_VME64xConn|FileName=VME64xConn.SchDoc|SymbolType=Normal|RawFileName=VME64xConn.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Input RS485.SchDoc|Designator=U_Input Unit RS485|SchDesignator=U_Input Unit RS485|FileName=Input Unit RS485.SchDoc|SymbolType=Normal|RawFileName=Input Unit RS485.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Input RS485.SchDoc|Designator=U_Input Unit RS485|SchDesignator=U_Input Unit RS485|FileName=Input Unit RS485.SchDoc|SymbolType=Normal|RawFileName=Input Unit RS485.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment