Commit 86e7cd48 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

Release gw v0.0, add user guide (currently only for v0.0)

parent d133efb1
conv-ttl-rs485-gw @ 0eb92bce
Subproject commit 28ae2bbf237ccf567da29f91b45f12d95b2e024f
Subproject commit 0eb92bce369caf898f90a30db30da7d00d8ffc32
FILE=ug-conv-ttl-rs485
all:
$(MAKE) -C fig
pdflatex -synctex=1 -interaction=nonstopmode $(FILE).tex *.tex
bibtex $(FILE).aux
pdflatex -synctex=1 -interaction=nonstopmode $(FILE).tex *.tex
pdflatex -synctex=1 -interaction=nonstopmode $(FILE).tex *.tex
evince $(FILE).pdf &
clean:
$(MAKE) -C fig clean
rm -rf *.aux *.dvi *.log $(FILE).pdf *.lof *.lot *.out *.toc *.bbl *.blg *.gz
To work with the template:
1. Copy to location of choice
2. Run the 'getstarted' script, which will:
- ask you for the file names (you give it <fname>, NO extensions, please)
- ask you for the document title
- change doc.* to <fname>.*
- add <fname> to the appropriate location in the Makefile
- change the <fname>.tex file to include <fname>.bib as bibliography
- change the title in cern-title.tex
3. Delete the 'getstarted' script
4. Write your documentation
5. Type 'make' to create your .pdf documentation file.
NOTE: You need Inkscape to make the documentation files:
sudo apt-get install inkscape
\ No newline at end of file
\begin{titlepage}
\vspace*{3cm}
%---------------------------------------------------------------
% name
%---------------------------------------------------------------
\noindent{\LARGE \textbf{CONV-TTL-RS485 User Guide}}
\noindent \rule{\textwidth}{.1cm}
\hfill August 8, 2014
\vspace*{3cm}
\begin{figure}[h]
\includegraphics[height=3cm]{fig/cern-logo}
\hfill
\includegraphics[height=3cm]{fig/ohwr-logo}
\end{figure}
\vfill
%---------------------------------------------------------------
% name
%---------------------------------------------------------------
\noindent {\Large \textbf{Theodor-Adrian Stana (CERN/BE-CO-HT)}}
\noindent \rule{\textwidth}{.05cm}
\end{titlepage}
\subsection{Converter board registers}
\label{app:conv-regs}
Base address: 0x000
{
\rowcolors{2}{white}{gray!25}
\begin{longtable}{l l l p{.5\textwidth}}
\hline
\textbf{Offset} & \textbf{Reset} & \textbf{Name}
& \textbf{Description} \\
\hline
\endfirsthead
\hline
\textbf{Offset} & \textbf{Reset} & \textbf{Name}
& \textbf{Description} \\
\hline
\endhead
\hline
\endfoot
0x0 & 0x54343538 & BIDR & Board ID Register\\
0x4 & (1) & SR & Status Register\\
0x8 & 0x00000000 & CR & Control Register\\
0xc & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x10 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x14 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x18 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x1c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x20 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x24 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x28 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x2c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x30 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x34 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x38 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x3c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x40 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x44 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x48 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x4c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x50 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x54 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x58 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x5c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x60 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x64 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x68 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x6c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x70 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x74 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x78 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x7c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x80 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x84 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x88 & (2) & LSR & Line Status Register\\
0x8c & 0x00000000 & MSWR & Multicast Switch Register\\
\end{longtable}
}
\noindent Note (1): The reset value of the SR cannot be specified, since it is based on the
gateware version, the state of the on-board switches and whether an RTM is plugged in or not.
\noindent Note (2): The reset value of the LSR cannot be specified, since it depends on whether a cable
is plugged into the channel or not.
\vspace{11pt}
\subsubsection{BIDR -- Board ID Register}
\label{app:conv-regs-bidr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BIDR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BIDR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BIDR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BIDR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
BIDR
} [\emph{read-only}]: ID register bits
\\
Reset value: 0x54343538
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{SR -- Status Register}
\label{app:conv-regs-sr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_ERR}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_WDTO} & \multicolumn{6}{|c|}{\cellcolor{gray!25}RTM[5:0]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}SWITCHES[7:0]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}GWVERS[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
GWVERS
} [\emph{read-only}]: Gateware version
\\
Leftmost nibble hex value is major release decimal value \\ Rightmost nibble hex value is minor release decimal value \\ e.g. \\ 0x11 -- v1.1 \\ 0x2e -- v2.14
\end{small}
\item \begin{small}
{\bf
SWITCHES
} [\emph{read-only}]: Status of on-board general-purpose switches
\\
1 -- switch is ON \\ 0 -- switch is OFF
\end{small}
\item \begin{small}
{\bf
RTM
} [\emph{read-only}]: RTM detection lines~\cite{rtm-det}
\\
1 -- line active \\ 0 -- line inactive
\end{small}
\item \begin{small}
{\bf
I2C\_WDTO
} [\emph{read/write}]: I2C communication watchdog timeout error
\\
1 -- timeout occured \\ 0 -- no timeout \\ This bit can be cleared by writing a '1' to it
\end{small}
\item \begin{small}
{\bf
I2C\_ERR
} [\emph{read/write}]: I2C communication error
\\
1 -- attempted to address non-existing address \\ 0 -- idle \\ This bit can be cleared by writing a '1' to it
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{CR -- Control Register}
\label{app:conv-regs-cr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - \\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}RST} & \multicolumn{1}{|c|}{\cellcolor{gray!25}RST\_UNLOCK}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
RST\_UNLOCK
} [\emph{read/write}]: Reset unlock bit
\\
1 -- Reset bit unlocked \\ 0 -- Reset bit locked
\end{small}
\item \begin{small}
{\bf
RST
} [\emph{read/write}]: Reset bit
\\
1 -- initiate logic reset \\ 0 -- no reset
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\pagebreak
\subsubsection{LSR -- Line Status Register}
\label{app:conv-regs-lsr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & \multicolumn{6}{|c|}{\cellcolor{gray!25}REARFS[5:0]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{6}{|c|}{\cellcolor{gray!25}REAR[5:0]} & \multicolumn{2}{|c|}{\cellcolor{gray!25}FRONTINV[3:2]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{2}{|c|}{\cellcolor{gray!25}FRONTINV[1:0]} & \multicolumn{6}{|c|}{\cellcolor{gray!25}FRONT[5:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
FRONT
} [\emph{read-only}]: Front panel channel input state
\\
Line state at board input\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small}
\item \begin{small}
{\bf
FRONTINV
} [\emph{read-only}]: Front panel INV-TTL input state
\\
Line state at board input\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small}
\item \begin{small}
{\bf
REAR
} [\emph{read-only}]: Rear panel input state
\\
Line state at board input\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small}
\item \begin{small}
{\bf
REARFS
} [\emph{read-only}]: Input failsafe state
\\
High if line is in failsafe mode (no cable plugged in)\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\pagebreak
\subsubsection{MSWR -- Multicast Switch Register}
\label{app:conv-regs-mwsr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & \multicolumn{4}{|c|}{\cellcolor{gray!25}SWITCHES[3:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
SWITCHES
} [\emph{read-only}]: Multicast address (from switch)
\\
1 -- switch is ON \\ 0 -- switch is OFF
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
SRC = $(wildcard *.svg)
OBJS = $(SRC:.svg=.pdf)
all: $(OBJS)
%.pdf : %.svg
inkscape -f $< -A $@
clean :
rm -f *.pdf
<?xml version="1.0" encoding="utf-8"?>
<!-- Generator: Adobe Illustrator 15.0.2, SVG Export Plug-In . SVG Version: 6.00 Build 0) -->
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
<svg version="1.1" id="Layer_1" xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" x="0px" y="0px"
width="184.252px" height="184.252px" viewBox="0 0 184.252 184.252" enable-background="new 0 0 184.252 184.252"
xml:space="preserve">
<g>
<path fill="#0053A1" d="M38.544,76.536c-0.921,0.7-4.123,2.692-8.941,2.692c-8.718,0-14.658-5.495-14.658-13.872
c0-8.328,6.298-13.87,14.862-13.87c3.332,0,7.147,1.026,9.275,1.939c-0.445,0.985-0.811,2.286-0.965,3.1l-0.233,0.077
c-1.647-1.823-4.295-3.402-8.213-3.402c-4.972,0-10.696,4.027-10.696,12.056c0,7.819,5.832,11.974,11.046,11.974
c4.684,0,6.927-2.186,8.939-3.885l0.154,0.154L38.544,76.536z"/>
<path fill="#0053A1" d="M60.139,77.312c0-0.588,0.05-1.193,0.092-1.487c-2.644,0.243-9.903,0.463-12.734,0.504
c-0.048-0.707-0.11-9.091-0.04-10.387c1.132,0,7.114,0.078,9.787,0.35c-0.077-0.388-0.116-0.962-0.116-1.35
c0-0.387,0.039-1.082,0.116-1.469c-2.286,0.193-5.214,0.387-9.787,0.387c0-0.969,0.079-8.037,0.118-9.701
c5.036,0,9.596,0.313,12.148,0.504c-0.042-0.264-0.092-0.807-0.092-1.337c0-0.528,0.035-0.958,0.092-1.322
c-1.342,0.09-5.678,0.195-8.003,0.195c-2.324,0-5.913-0.078-8.237-0.195c0.154,3.294,0.311,6.664,0.311,9.997v6.664
c0,3.333-0.156,6.704-0.311,10.075c2.363-0.117,5.99-0.194,8.354-0.194c0.111,0,0.227,0,0.343,0
c0.81,0.003,1.835,0.014,2.893,0.033c1.833,0.034,3.767,0.089,5.159,0.161l0,0l0,0C60.173,78.331,60.139,77.899,60.139,77.312z"/>
<path fill="#0053A1" d="M68.815,65.622v3.082c0,3.332,0.154,6.701,0.311,10.034c-0.66-0.117-1.852-0.128-2.096-0.128
c-0.243,0-1.435,0.012-2.094,0.128c0.155-3.333,0.31-6.703,0.31-10.034v-6.666c0-3.332-0.155-6.703-0.31-10.035
c1.473,0.117,3.336,0.195,4.809,0.195c1.473,0,2.945-0.195,4.417-0.195c4.379,0,8.39,1.293,8.39,6.169
c0,5.161-5.14,7.013-8.085,7.401c1.899,2.363,8.7,10.646,10.947,13.165c-0.774-0.117-2.073-0.128-2.427-0.128
c-0.354,0-1.691,0.012-2.427,0.128c-1.531-2.335-6.437-9.686-9.77-13.117C70.688,65.621,68.815,65.622,68.815,65.622z
M71.411,64.204c3.199-0.065,7.4-1.081,7.4-5.502c0-3.852-3.371-5.076-6.005-5.076c-1.782,0-2.945,0.116-3.758,0.193
c-0.117,2.829-0.232,5.428-0.232,8.218c0,0,0,1.851,0,2.131C69.2,64.221,71.016,64.21,71.411,64.204z"/>
<path fill="#0053A1" d="M112.594,51.99c-0.453,0.078-1.013,0.142-1.699,0.142c-0.676,0-1.257-0.073-1.651-0.142
c0.17,3.174,0.462,9.047,0.462,12.899c0,2.898,0,5.428-0.04,6.862c-1.409-1.499-17.576-18.23-19.219-20.016l-1.269-0.013
c0.057,2.465,0.129,5.141,0.129,10.022c0,6.249-0.087,12.896-0.406,16.994c0.453-0.079,1.012-0.142,1.698-0.142
c0.677,0,1.257,0.071,1.65,0.142c-0.169-3.173-0.461-9.048-0.461-12.898c0-2.899,0.002-5.882,0.041-7.314
c1.409,1.5,17.667,18.458,19.218,20.561l1.269,0.012c-0.058-2.465-0.129-5.234-0.129-10.116
C112.187,62.734,112.275,56.085,112.594,51.99z"/>
<path fill="#0053A1" d="M42.069,121.789c-7.614-12.048-9.781-23.679-10.084-32.58c-1.173,0-2.346,0-3.519,0
c0.295,9.71,2.65,19.893,7.919,29.872C37.627,120.083,40.544,121.358,42.069,121.789z"/>
<path fill="#0053A1" d="M184.25,1.679c0,0-87.554-0.694-115.47-0.679c-4.369,0.003-7.302,0.311-8.248,0.371
C26.048,3.605,0.115,33.842,0,66.817c-0.032,9.586,2.522,20.39,6.667,34.973c5.476,19.267,11.891,41.367,11.891,41.367h3.499
L9.128,99.539l0.097-0.065c9.497,18.347,31.392,33.086,56.237,33.086c13.407,0,25.841-3.753,35.638-10.666l0.085,0.08
l-57.516,61.204h4.492c0,0,40.387-42.968,54.125-57.556c10.527-11.178,15.996-18.381,18.285-22.119
c2.625-4.287,10.964-16.645,10.652-34.847l0.112-0.008l25.203,114.529h3.618c0,0-21.146-93.744-25.25-113.349
c-4.038-19.292-8.809-31.258-13.957-38.083c-1.769-0.985-4.527-2.107-5.828-2.411c7.472,9.428,13.055,23.454,13.055,37.489
c0,34.355-27.95,62.304-62.306,62.304c-34.354,0-62.304-27.949-62.304-62.304c0-34.356,28.05-62.306,62.324-62.306
c15.235,0,29.356,5.588,40.209,14.79c2.098,0.29,4.91,0.945,6.589,1.551l0.013-0.035C104.902,13.046,95.163,7.265,84.33,4.225
c0-0.084,0-0.089,0-0.089l99.922,0.623L184.25,1.679z"/>
<path fill="#0053A1" d="M50.808,132.873c-2.228-0.329-5.011-1.118-6.685-1.836c7.5,8.536,17.82,15.366,27.953,19.198l2.659-2.821
C63.744,143.702,55.756,137.737,50.808,132.873"/>
<path fill="#0053A1" d="M142.469,127.885c-11.121,13.615-28.388,22.783-48.226,22.771c-4.259-0.001-8.391-0.478-11.836-1.179
l-2.847,3.022c5.445,1.258,10.271,1.731,14.885,1.731c20.405,0,37.861-9.523,48.972-22.057L142.469,127.885"/>
<path fill="#0053A1" d="M165.644,17.304l-6.001,61.965h-0.113c-0.851-11.696-6.682-25.666-13.883-34.498
c-12.5-15.329-30.842-24.585-51.444-24.585c-19.647,0-37.15,8.717-49.192,22.381l2.758,2.186
C59.145,31.888,75.442,23.643,94.2,23.643c22.836,0,41.439,11.704,51.845,27.615c9.286,14.197,12.365,32.39,10.213,45.719
c-0.727,4.502-2.36,13.257-8.359,23.176l1.05,4.614c7.358-11.405,11.091-21.556,14.005-47.503
c2.234-19.906,6.073-59.962,6.073-59.962L165.644,17.304z"/>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="240.12488"
height="35.933071"
id="svg3023"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="comm.svg">
<defs
id="defs3025">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3997"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="TriangleInM"
style="overflow:visible">
<path
id="path3988"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="3.959798"
inkscape:cx="105.33366"
inkscape:cy="-1.46845"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid3050"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="-19.929445mm"
originy="-254.92944mm" />
</sodipodi:namedview>
<metadata
id="metadata3028">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-70.616142,-113.1358)">
<rect
id="rect3060"
width="62.007877"
height="35.433071"
x="168.30708"
y="113.3858"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="199.53807"
y="127.52324"
id="text3054"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="199.53807"
y="127.52324"
style="font-size:10px;text-align:center;text-anchor:middle"
id="tspan4498">VME crate</tspan><tspan
sodipodi:role="line"
x="199.53807"
y="140.02324"
style="font-size:10px;text-align:center;text-anchor:middle"
id="tspan4512">SysMon</tspan></text>
<rect
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
y="113.3858"
x="70.866142"
height="35.433071"
width="53.149605"
id="rect3830" />
<text
sodipodi:linespacing="125%"
id="text3832"
y="128.42656"
x="97.440948"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;text-align:center;text-anchor:middle"
y="128.42656"
x="97.440948"
sodipodi:role="line"
id="tspan3840">User</tspan><tspan
style="font-size:10px;text-align:center;text-anchor:middle"
y="140.92656"
x="97.440948"
sodipodi:role="line"
id="tspan3844">computer</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)"
d="m 127.55906,122.24407 37.20472,0"
id="path3846"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4478"
d="m 127.55906,139.96061 37.20472,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="146.2708"
y="120.47242"
id="text4480"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4482"
x="146.2708"
y="120.47242"
style="font-size:8px;text-align:center;text-anchor:middle">Telnet</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4484"
y="138.18895"
x="146.2708"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px;text-align:center;text-anchor:middle"
y="138.18895"
x="146.2708"
id="tspan4486"
sodipodi:role="line">SNMP</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4488"
y="129.33069"
x="251.57481"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px;text-align:center;text-anchor:middle"
y="129.33069"
x="251.57481"
id="tspan4490"
sodipodi:role="line">I<tspan
style="font-size:65.00091553%;baseline-shift:super"
id="tspan4494">2</tspan>C</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4492"
d="m 233.85827,131.10234 37.20472,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
<rect
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
y="113.3858"
x="275.05795"
height="35.433071"
width="35.433071"
id="rect4500" />
<text
sodipodi:linespacing="125%"
id="text4502"
y="134.74248"
x="292.32281"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4506"
style="font-size:10px;text-align:center;text-anchor:middle"
y="134.74248"
x="292.32281"
sodipodi:role="line">FPGA</tspan></text>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="565.93835"
height="313.81104"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="conv-sys-bd.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleInL"
orient="auto"
refY="0"
refX="0"
id="TriangleInL"
style="overflow:visible">
<path
id="path4083"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.8,-0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="TriangleOutL"
style="overflow:visible">
<path
id="path4092"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="TriangleInM"
style="overflow:visible">
<path
id="path4086"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path4095"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.4"
inkscape:cx="215.75401"
inkscape:cy="40.286456"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
showguides="true"
inkscape:guide-bbox="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid3903"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="1mm"
spacingy="1mm"
originx="6.1081275mm"
originy="-191.71778mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(21.642971,-59.236198)">
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:16, 16;stroke-dashoffset:0"
d="m 336.61417,60.236198 0,311.811022"
id="path11091"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<rect
y="255.11809"
x="354.33072"
height="88.582687"
width="42.519676"
id="rect3757"
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
y="166.53542"
x="318.89764"
height="53.149601"
width="21.259836"
id="rect3781"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
transform="matrix(0,0.91287127,-1.0954447,0,0,0)"
sodipodi:linespacing="125%"
id="text3785"
y="-297.17123"
x="211.85895"
style="font-size:10.95445156px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ffffff;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle;fill:#ffffff;fill-opacity:1"
id="tspan3787"
y="-297.17123"
x="211.85895"
sodipodi:role="line">VME P1</tspan></text>
<path
inkscape:connector-curvature="0"
id="path8439"
d="m 329.52756,162.9921 0,-35.43307"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
<text
sodipodi:linespacing="125%"
id="text8447"
y="124.01572"
x="311.81104"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="124.01572"
x="311.81104"
id="tspan8449"
sodipodi:role="line"
style="font-style:italic">I<tspan
id="tspan8451"
style="font-size:65.00091553%;font-style:italic;baseline-shift:super">2</tspan>C</tspan></text>
<rect
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect8469"
width="35.433064"
height="53.149601"
x="318.89764"
y="272.83463" />
<text
xml:space="preserve"
style="font-size:10.95445156px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ffffff;fill-opacity:1;stroke:none;font-family:Sans"
x="328.3942"
y="-303.57446"
id="text8471"
sodipodi:linespacing="125%"
transform="matrix(0,0.91287127,-1.0954447,0,0,0)"><tspan
sodipodi:role="line"
x="328.3942"
y="-303.57446"
id="tspan8473"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle;fill:#ffffff;fill-opacity:1">VME P2</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 382.67717,287.00785 -24.80315,0"
id="path10763"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 354.33071,297.63777 24.80315,0"
id="path10765"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 354.33071,308.26769 24.80315,0"
id="path10767"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 354.33071,318.89762 24.80315,0"
id="path10769"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect10959"
width="14.173234"
height="46.063004"
x="382.67715"
y="279.92123" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="421.65353"
y="255.11813"
id="text10961"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan10963"
x="421.65353"
y="255.11813"
style="text-align:start;text-anchor:start">6x RS-485</tspan></text>
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.99999994;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect10965"
width="51.535797"
height="95.669312"
x="-469.64603"
y="-354.33072"
transform="scale(-1,-1)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path10969"
d="m 485.43307,343.70077 -67.32283,1e-5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInL)" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path10971"
sodipodi:cx="42.519684"
sodipodi:cy="223.22832"
sodipodi:rx="3.5433071"
sodipodi:ry="3.5433071"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
transform="matrix(-2.115517,0,0,-2.115517,545.51756,815.94408)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleOutL)"
d="m 484.47372,269.29132 -65.37073,0"
id="path10967"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
sodipodi:ry="3.5433071"
sodipodi:rx="3.5433071"
sodipodi:cy="223.22832"
sodipodi:cx="42.519684"
id="path10973"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc"
transform="matrix(-2.0541753,0,0,-2.0541753,542.816,727.84141)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInL)"
d="m 485.43307,318.89762 -67.32283,10e-6"
id="path10995"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="matrix(-2.115517,0,0,-2.115517,545.51756,791.14093)"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
sodipodi:ry="3.5433071"
sodipodi:rx="3.5433071"
sodipodi:cy="223.22832"
sodipodi:cx="42.519684"
id="path10997"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path10999"
d="m 485.43307,294.09447 -67.32283,10e-6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInL)" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path11001"
sodipodi:cx="42.519684"
sodipodi:cy="223.22832"
sodipodi:rx="3.5433071"
sodipodi:ry="3.5433071"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
transform="matrix(-2.115517,0,0,-2.115517,545.51756,766.33778)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 396.85039,279.92124 418.11024,258.6614"
id="path11055"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 396.85039,325.98423 21.25985,28.34646"
id="path11059"
inkscape:connector-curvature="0" />
<rect
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3791"
width="230.31496"
height="212.59842"
x="88.582687"
y="131.10234" />
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6424"
width="70.866142"
height="14.173228"
x="141.52464"
y="184.25195" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans;opacity:0.3"
x="145.27559"
y="194.09735"
id="text6412"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan6414"
x="145.27559"
y="194.09735">time tagging</tspan></text>
<g
id="g3213">
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6426"
width="63.779533"
height="14.173228"
x="212.39078"
y="184.25195" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="272.83466"
y="194.09735"
id="text6416"
sodipodi:linespacing="125%"><tspan
style="text-align:end;text-anchor:end"
sodipodi:role="line"
id="tspan6418"
x="272.83466"
y="194.09735">diagnostics</tspan></text>
</g>
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6428"
width="134.64566"
height="42.519688"
x="141.52464"
y="198.42519" />
<text
sodipodi:linespacing="125%"
id="text6420"
y="216.14171"
x="225.17986"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:center;text-anchor:middle"
y="216.14171"
x="226.77165"
id="tspan6422"
sodipodi:role="line">pulse </tspan><tspan
style="text-align:center;text-anchor:middle"
id="tspan8483"
y="228.64171"
x="225.17986"
sodipodi:role="line">generation</tspan></text>
<g
id="g3208">
<rect
y="170.07874"
x="141.73228"
height="14.173232"
width="134.438"
id="rect3176"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text3178"
y="179.92413"
x="262.66223"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="179.92413"
x="262.66223"
id="tspan3180"
sodipodi:role="line"
style="text-align:end;text-anchor:end">remote reprograming</tspan></text>
</g>
<rect
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6430"
width="134.64566"
height="70.86615"
x="141.52464"
y="170.07874" />
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect3867"
width="17.716534"
height="35.433067"
x="88.58268"
y="272.83463" />
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.99999994;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect3877"
width="74.959267"
height="67.463348"
x="-4.0931234"
y="258.52087" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleOutL)"
d="m -19.084976,310.99237 67.59118,-0.0552 0,-3.43742"
id="path3923"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
sodipodi:nodetypes="ccc"
inkscape:connector-curvature="0"
id="path3925"
d="m -19.084976,273.51273 67.59118,-0.13815 0,5.70798"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInL)" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3879"
sodipodi:cx="42.519684"
sodipodi:cy="223.22832"
sodipodi:rx="3.5433071"
sodipodi:ry="3.5433071"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
transform="matrix(2.115517,0,0,2.115517,-79.052389,-198.73057)" />
<path
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
sodipodi:ry="3.5433071"
sodipodi:rx="3.5433071"
sodipodi:cy="223.22832"
sodipodi:cx="42.519684"
id="path3881"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc"
transform="matrix(2.115517,0,0,2.115517,-79.052389,-161.25093)" />
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g3911"
transform="matrix(2.115517,0,0,2.115517,-79.052389,-206.22651)">
<path
inkscape:connector-curvature="0"
id="path3883"
d="m 60.23622,232.0398 -5.361754,10.72351 10.723508,0 z"
style="fill:none;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.56813234;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3885"
sodipodi:cx="42.519684"
sodipodi:cy="223.22832"
sodipodi:rx="3.5433071"
sodipodi:ry="3.5433071"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
transform="matrix(0.4160102,0,0,0.4160102,42.594742,137.96887)" />
</g>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="70.866142"
y="254.98254"
id="text6139"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan6141"
x="70.866142"
y="254.98254"
style="text-align:end;text-anchor:end">4x TTL inverters</tspan></text>
<text
sodipodi:linespacing="125%"
id="text6143"
y="187.79526"
x="70.866142"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="187.79526"
x="70.866142"
id="tspan6145"
sodipodi:role="line">6x TTL</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="85.039368"
y="159.44879"
id="text6157"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan6159"
x="85.039368"
y="159.44879"
style="text-align:end;text-anchor:end">1x SFP</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 70.866142,258.6614 17.716535,14.17322"
id="path6173"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 70.866142,325.98423 88.582677,308.26769 70.866142,325.98423"
id="path6175"
inkscape:connector-curvature="0" />
<rect
y="191.33855"
x="17.71653"
height="49.606312"
width="53.149612"
id="rect6179"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.99999994;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6181"
d="m 3.5433071,230.31494 67.3228349,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleOutL)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInL)"
d="m 3.5433071,201.96849 67.3228349,-1e-5"
id="path6183"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="matrix(2.115517,0,0,2.115517,-57.792547,-270.27482)"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
sodipodi:ry="3.5433071"
sodipodi:rx="3.5433071"
sodipodi:cy="223.22832"
sodipodi:cx="42.519684"
id="path6185"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
transform="matrix(2.115517,0,0,2.115517,-58.061351,-241.92836)"
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path6187"
sodipodi:cx="42.519684"
sodipodi:cy="223.22832"
sodipodi:rx="3.5433071"
sodipodi:ry="3.5433071"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z" />
<rect
y="201.96849"
x="88.58268"
height="35.433067"
width="17.716534"
id="rect6195"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 70.866142,191.33856 17.716535,10.62992"
id="path6197"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 88.582677,237.40155 -17.716535,3.54331"
id="path6199"
inkscape:connector-curvature="0" />
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6217"
width="17.716534"
height="35.433067"
x="88.58268"
y="148.81888" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="155.90552"
y="166.53543"
id="text6163"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan6165"
x="155.90552"
y="166.53543"
style="font-weight:bold;text-align:center;text-anchor:middle">FPGA</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 279.92126,184.25196 35.43307,0"
id="path7873"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="290.55118"
y="180.70866"
id="text8441"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan8443"
x="290.55118"
y="180.70866"
style="font-style:italic">I<tspan
style="font-size:65.00091553%;font-style:italic;baseline-shift:super"
id="tspan8445">2</tspan>C</tspan></text>
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect8485"
width="92.125816"
height="67.322884"
x="216.14174"
y="262.20471" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="262.20471"
y="272.83466"
id="text8477"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="262.20471"
y="272.83466"
style="text-align:center;text-anchor:middle"
id="tspan3942">RS-485</tspan><tspan
sodipodi:role="line"
x="262.20471"
y="285.33466"
style="text-align:center;text-anchor:middle"
id="tspan3948">transceivers</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:none"
d="m 106.29921,230.31494 53.14961,0 0,77.95275 120.47244,0"
id="path8487"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:url(#TriangleOutM)"
d="m 318.89764,287.00785 -141.73229,0 0,-77.95275 -67.32283,0"
id="path8489"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 279.92126,308.26769 35.43307,0"
id="path10009"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 106.29921,166.53541 17.71654,0 0,24.80315 17.71653,0"
id="path11089"
inkscape:connector-curvature="0" />
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text3105"
y="-93.800812"
x="177.06276"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-weight:bold;text-align:end;text-anchor:end"
y="-93.800812"
x="177.06276"
id="tspan3107"
sodipodi:role="line">SFP</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="229.91208"
y="-93.795929"
id="text3109"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan3111"
x="229.91208"
y="-93.795929"
style="font-weight:bold;text-align:end;text-anchor:end">TTL</tspan></text>
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text3113"
y="-93.800812"
x="304.4281"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-weight:bold;text-align:end;text-anchor:end"
y="-93.800812"
x="304.4281"
id="tspan3115"
sodipodi:role="line">GPIO</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-303.06503"
y="393.4039"
id="text3117"
sodipodi:linespacing="125%"
transform="matrix(0,-1,1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan3119"
x="-303.06503"
y="393.4039"
style="font-weight:bold;text-align:center;text-anchor:middle">RS-485</tspan></text>
<g
id="g3171"
transform="translate(64.892966,0)">
<text
sodipodi:linespacing="125%"
id="text11093"
y="81.49604"
x="147.70546"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:16px;font-style:normal;font-weight:bold;text-align:center;text-anchor:middle"
y="81.49604"
x="147.70546"
id="tspan11095"
sodipodi:role="line">CONV-TTL-RS485</tspan></text>
<text
sodipodi:linespacing="125%"
id="text11097"
y="95.669273"
x="148.18153"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="95.669273"
x="148.18153"
id="tspan11099"
sodipodi:role="line">front module</tspan></text>
</g>
<g
id="g3165"
transform="translate(0,-2.2888184e-5)">
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="442.91339"
y="81.496063"
id="text11101"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan11103"
x="442.91339"
y="81.496063"
style="font-size:16px;font-style:normal;font-weight:bold;text-align:center;text-anchor:middle">CONV-TTL-RTM</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="443.43292"
y="95.669296"
id="text11105"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan11107"
x="443.43292"
y="95.669296"
style="font-style:normal;text-align:center;text-anchor:middle">rear-transition module</tspan></text>
</g>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="488.97638"
y="272.83466"
id="text4634"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4636"
x="488.97638"
y="272.83466">1x input</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4638"
y="322.44095"
x="496.06299"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="322.44095"
x="496.06299"
id="tspan4640"
sodipodi:role="line">3x output</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 315.73746,249.03152 0,-10.62993 21.25984,0"
id="path4663"
inkscape:connector-curvature="0"
transform="translate(-21.642971,59.236198)" />
<path
inkscape:connector-curvature="0"
id="path5041"
d="m 294.09449,308.26771 0,10.62993 21.25984,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 514.16266,227.77167 0,63.77953"
id="path5106"
inkscape:connector-curvature="0"
transform="translate(-21.642971,59.236198)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 507.07604,227.77167 7.08662,0"
id="path5146"
inkscape:connector-curvature="0"
transform="translate(-21.642971,59.236198)" />
<path
inkscape:connector-curvature="0"
id="path5148"
d="m 485.43307,350.7874 7.08662,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<g
id="g3237"
transform="translate(-6.1875973,0)">
<rect
transform="matrix(0,-1,1,0,0,0)"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect3202"
width="17.716534"
height="63.779522"
x="-155.9055"
y="183.14531" />
<text
sodipodi:linespacing="125%"
id="text3204"
y="150.68738"
x="244.48819"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-weight:normal;text-align:end;text-anchor:end"
y="150.68738"
x="244.48819"
id="tspan3206"
sodipodi:role="line">Flash PROM</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)"
d="m 230.69809,100.21262 0,7.08661"
id="path3242"
inkscape:connector-curvature="0"
transform="translate(-21.642971,59.236198)" />
<g
id="g4128">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g6474"
transform="matrix(0,-1.0577593,1.0577593,0,-192.41869,279.87711)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path6476"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path6480"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6482"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6484"
d="m 56.850744,210.82674 -3.543309,-3.60447"
style="fill:none;stroke:#000000;stroke-width:0.50000036px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6486"
d="m 51.53578,210.82674 -3.533467,-3.63435"
style="fill:none;stroke:#000000;stroke-width:0.50000036px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
inkscape:connector-curvature="0"
id="path3128"
d="m 49.6063,212.59842 0,7.08662"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
<g
id="g4112">
<g
transform="matrix(0,-1.0577593,-1.0577593,0,683.33358,372.01997)"
id="g4079"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path4081"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path4084"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path4087"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.50000036px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 434.06415,302.9696 3.54331,-3.60447"
id="path4089"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.50000036px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 439.37911,302.9696 3.53347,-3.63435"
id="path4091"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 441.30859,304.74127 0,7.08662"
id="path4093"
inkscape:connector-curvature="0" />
</g>
</g>
</svg>
This source diff could not be displayed because it is too large. You can view the blob instead.
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="342"
height="165.05859"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="ex-timing.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path4095"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="TriangleOutL"
style="overflow:visible">
<path
id="path4092"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.4"
inkscape:cx="188.59632"
inkscape:cy="222.30013"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1">
<inkscape:grid
type="xygrid"
id="grid2985"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
originx="-109px"
originy="-854.5px" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-109,-32.803589)">
<g
transform="translate(140,0)"
id="g5376">
<rect
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect5378"
width="60.000015"
height="25.000006"
x="120"
y="157.36218" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect5380"
width="15"
height="10"
x="125"
y="172.36218" />
<rect
y="172.36218"
x="150"
height="10"
width="20"
id="rect5382"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="128.87012"
y="179.54919"
id="text5384"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5386"
x="128.87012"
y="179.54919"
style="font-size:6px;font-weight:bold">IN</tspan></text>
<text
sodipodi:linespacing="125%"
id="text5388"
y="179.54626"
x="152.83398"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="179.54626"
x="152.83398"
id="tspan5390"
sodipodi:role="line">OUT</tspan></text>
</g>
<g
id="g3912"
transform="translate(70,0)">
<rect
y="157.36218"
x="120"
height="25.000011"
width="59.999985"
id="rect3914"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
y="172.36218"
x="125"
height="10"
width="15"
id="rect3916"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3918"
width="20"
height="10"
x="150"
y="172.36218" />
<text
sodipodi:linespacing="125%"
id="text3920"
y="179.54919"
x="128.87012"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="179.54919"
x="128.87012"
id="tspan3922"
sodipodi:role="line">IN</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="152.83398"
y="179.54626"
id="text3924"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3926"
x="152.83398"
y="179.54626"
style="font-size:6px;font-weight:bold">OUT</tspan></text>
</g>
<g
transform="translate(261,0)"
id="g3928">
<rect
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3930"
width="59.999992"
height="25.000002"
x="119"
y="157.36218" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3932"
width="15"
height="10"
x="125"
y="172.36218" />
<rect
y="172.36218"
x="150"
height="10"
width="20"
id="rect3934"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="128.87012"
y="179.54919"
id="text3936"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3938"
x="128.87012"
y="179.54919"
style="font-size:6px;font-weight:bold">IN</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3940"
y="179.54626"
x="152.83398"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="179.54626"
x="152.83398"
id="tspan3942"
sodipodi:role="line">OUT</tspan></text>
</g>
<g
id="g3857">
<rect
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3836"
width="59.999962"
height="25.000013"
x="120"
y="157.36218" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3838"
width="15"
height="10"
x="125"
y="172.36218" />
<rect
y="172.36218"
x="150"
height="10"
width="20"
id="rect3840"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="128.87012"
y="179.54919"
id="text3842"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3844"
x="128.87012"
y="179.54919"
style="font-size:6px;font-weight:bold">IN</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3846"
y="179.54626"
x="152.83398"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="179.54626"
x="152.83398"
id="tspan3848"
sodipodi:role="line">OUT</tspan></text>
</g>
<g
id="g3771">
<rect
y="82.362183"
x="120"
height="25"
width="60"
id="rect3757"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
y="82.362183"
x="125"
height="10"
width="15"
id="rect3767"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3769"
width="30"
height="10"
x="145"
y="82.362183" />
<text
sodipodi:linespacing="125%"
id="text3759"
y="89.549194"
x="128.87012"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="89.549194"
x="128.87012"
id="tspan3761"
sodipodi:role="line">IN</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="147.72021"
y="89.546265"
id="text3763"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3765"
x="147.72021"
y="89.546265"
style="font-size:6px;font-weight:bold">3x OUT</tspan></text>
</g>
<g
id="g3780"
transform="translate(70,0)">
<rect
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3782"
width="60"
height="25"
x="120"
y="82.362183" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3784"
width="15"
height="10"
x="125"
y="82.362183" />
<rect
y="82.362183"
x="145"
height="10"
width="30"
id="rect3786"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="128.87012"
y="89.549194"
id="text3788"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3790"
x="128.87012"
y="89.549194"
style="font-size:6px;font-weight:bold">IN</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3792"
y="89.546265"
x="147.72021"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="89.546265"
x="147.72021"
id="tspan3794"
sodipodi:role="line">3x OUT</tspan></text>
</g>
<rect
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect2987"
width="340"
height="50"
x="110"
y="82.362183" />
<g
transform="translate(261,0)"
id="g3796">
<rect
y="82.362183"
x="120"
height="25"
width="59"
id="rect3798"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
y="82.362183"
x="125"
height="10"
width="15"
id="rect3800"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3802"
width="30"
height="10"
x="145"
y="82.362183" />
<text
sodipodi:linespacing="125%"
id="text3804"
y="89.549194"
x="128.87012"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="89.549194"
x="128.87012"
id="tspan3806"
sodipodi:role="line">IN</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="147.72021"
y="89.546265"
id="text3808"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3810"
x="147.72021"
y="89.546265"
style="font-size:6px;font-weight:bold">3x OUT</tspan></text>
</g>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="330"
y="95.242645"
id="text3812"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3814"
x="330"
y="95.242645">...</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="247.51465"
y="127.36218"
id="text3818"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3820"
x="247.51465"
y="127.36218"
style="font-size:12px;font-weight:normal;text-align:center;text-anchor:middle">RTM</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3830"
y="147.36218"
x="247.51465"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:normal;text-align:center;text-anchor:middle"
y="147.36218"
x="247.51465"
id="tspan3832"
sodipodi:role="line">Front Module</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3898"
y="177.36218"
x="330"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="177.36218"
x="330"
id="tspan3900"
sodipodi:role="line">...</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 133,57.362183 0,20"
id="path3944"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text4576"
y="55.362183"
x="133"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
y="55.362183"
x="133"
sodipodi:role="line"
id="tspan4580">in</tspan></text>
<path
inkscape:connector-curvature="0"
id="path4582"
d="m 160,79.420183 0,-20"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="160"
y="37.362183"
id="text4584"
sodipodi:linespacing="125%"><tspan
id="tspan4588"
sodipodi:role="line"
x="160"
y="37.362183"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle">out[1]</tspan><tspan
sodipodi:role="line"
x="160"
y="44.862183"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan4596">out[2]</tspan><tspan
sodipodi:role="line"
x="160"
y="52.362183"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan4600">out[3]</tspan></text>
<path
inkscape:connector-curvature="0"
id="path4602"
d="m 203,57.362183 0,20"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="203"
y="55.362183"
id="text4604"
sodipodi:linespacing="125%"><tspan
id="tspan4606"
sodipodi:role="line"
x="203"
y="55.362183"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle">in</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 230,79.420183 0,-20"
id="path4608"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text4610"
y="37.362183"
x="230"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
y="37.362183"
x="230"
sodipodi:role="line"
id="tspan4612">out[4]</tspan><tspan
id="tspan4614"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
y="44.862183"
x="230"
sodipodi:role="line">out[5]</tspan><tspan
id="tspan4616"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
y="52.362183"
x="230"
sodipodi:role="line">out[6]</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 394,57.362183 0,20"
id="path4618"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text4620"
y="55.362183"
x="394"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
y="55.362183"
x="394"
sodipodi:role="line"
id="tspan4622">in</tspan></text>
<path
inkscape:connector-curvature="0"
id="path4624"
d="m 421,79.420183 0,-20"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="421"
y="37.362183"
id="text4626"
sodipodi:linespacing="125%"><tspan
id="tspan4628"
sodipodi:role="line"
x="421"
y="37.362183"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle">out[16]</tspan><tspan
sodipodi:role="line"
x="421"
y="44.862183"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan4630">out[17]</tspan><tspan
sodipodi:role="line"
x="421"
y="52.362183"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan4632">out[18]</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)"
d="m 160,182.36218 0,10 c -0.15362,2.13871 -0.007,2 2,2 l 38,0 c 2.13871,0.15362 2,0.007 2,-2 l 0,-6"
id="path4634"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccccc" />
<path
sodipodi:nodetypes="cccccc"
inkscape:connector-curvature="0"
id="path5372"
d="m 230,182.36218 0,10 c -0.15362,2.13871 -0.007,2 2,2 l 38,0 c 2.13871,0.15362 2,0.007 2,-2 l 0,-6"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)" />
<rect
y="132.36218"
x="110"
height="50"
width="340"
id="rect5374"
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<g
transform="translate(140,0)"
id="g5392">
<rect
y="82.362183"
x="120"
height="25"
width="60"
id="rect5394"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
y="82.362183"
x="125"
height="10"
width="15"
id="rect5396"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect5398"
width="30"
height="10"
x="145"
y="82.362183" />
<text
sodipodi:linespacing="125%"
id="text5400"
y="89.549194"
x="128.87012"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="89.549194"
x="128.87012"
id="tspan5402"
sodipodi:role="line">IN</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="147.72021"
y="89.546265"
id="text5404"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5406"
x="147.72021"
y="89.546265"
style="font-size:6px;font-weight:bold">3x OUT</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 273,57.362183 0,20"
id="path5408"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text5410"
y="55.362183"
x="273"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
y="55.362183"
x="273"
sodipodi:role="line"
id="tspan5412">in</tspan></text>
<path
inkscape:connector-curvature="0"
id="path5414"
d="m 300,79.420183 0,-20"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="300"
y="37.362183"
id="text5416"
sodipodi:linespacing="125%"><tspan
id="tspan5418"
sodipodi:role="line"
x="300"
y="37.362183"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle">out[7]</tspan><tspan
sodipodi:role="line"
x="300"
y="44.862183"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan5420">out[8]</tspan><tspan
sodipodi:role="line"
x="300"
y="52.362183"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan5422">out[9]</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:none"
d="m 300,182.36218 0,10 c -0.15362,2.13871 -0.007,2 2,2 l 23,0"
id="path5424"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)"
d="m 375,194.36218 17,0 c 2.13871,0.15362 2,0.007 2,-2 l 0,-6"
id="path5621"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<g
style="fill:none"
transform="matrix(1,0,0,-1,207.69291,255.685)"
id="g5623">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5625"
d="m 167.30709,58.322817 c -2,2 1,4 0,6"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<g
id="g5627"
transform="matrix(1,0,0,-1,157.69291,255.685)"
style="fill:none">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 167.30709,58.322817 c -2,2 1,4 0,6"
id="path5629"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<text
sodipodi:linespacing="125%"
id="text5654"
y="106.36218"
x="150"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:normal;text-align:center;text-anchor:middle"
y="106.36218"
x="150"
id="tspan5656"
sodipodi:role="line">CH1</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="220"
y="106.36218"
id="text5662"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5664"
x="220"
y="106.36218"
style="font-size:10px;font-weight:normal;text-align:center;text-anchor:middle">CH2</tspan></text>
<text
sodipodi:linespacing="125%"
id="text5666"
y="106.36218"
x="290"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:normal;text-align:center;text-anchor:middle"
y="106.36218"
x="290"
id="tspan5668"
sodipodi:role="line">CH3</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="415"
y="106.36218"
id="text5670"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5672"
x="415"
y="106.36218"
style="font-size:10px;font-weight:normal;text-align:center;text-anchor:middle">CH6</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="150"
y="166.36218"
id="text5690"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5692"
x="150"
y="166.36218"
style="font-size:10px;font-weight:normal;text-align:center;text-anchor:middle">CH1</tspan></text>
<text
sodipodi:linespacing="125%"
id="text5694"
y="166.36218"
x="220"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:normal;text-align:center;text-anchor:middle"
y="166.36218"
x="220"
id="tspan5696"
sodipodi:role="line">CH2</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="290"
y="166.36218"
id="text5698"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5700"
x="290"
y="166.36218"
style="font-size:10px;font-weight:normal;text-align:center;text-anchor:middle">CH3</tspan></text>
<text
sodipodi:linespacing="125%"
id="text5702"
y="166.36218"
x="415"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:normal;text-align:center;text-anchor:middle"
y="166.36218"
x="415"
id="tspan5704"
sodipodi:role="line">CH6</tspan></text>
</g>
</svg>
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="207.23633"
height="122"
id="svg3130"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="line-stat.svg">
<defs
id="defs3132">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3133"
style="overflow:visible">
<path
id="path3135"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3094"
style="overflow:visible">
<path
id="path3096"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3098"
style="overflow:visible">
<path
id="path3100"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8284271"
inkscape:cx="62.982972"
inkscape:cy="10.646714"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid3211"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
originx="-111.01367px"
originy="-769px" />
</sodipodi:namedview>
<metadata
id="metadata3135">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-111.01367,-161.36218)">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4441"
d="m 145,275.36218 40.00002,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 215,248.36218 40,0"
id="path4032"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4018"
d="m 185,275.36218 42,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3098)"
d="m 185,207.36219 105,0"
id="path4051"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker-start:none"
d="m 185,162.36218 0,120"
id="path4728"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="190"
y="172.36218"
id="text4947"
sodipodi:linespacing="125%"><tspan
id="tspan4949"
sodipodi:role="line"
x="190"
y="172.36218"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">FPGA</tspan></text>
<text
sodipodi:linespacing="125%"
id="text5499"
y="206.36218"
x="129"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:4px;text-align:center;text-anchor:middle"
y="206.36218"
x="129"
sodipodi:role="line"
id="tspan5525">TTL, INVTTL</tspan><tspan
style="font-size:4px;text-align:center;text-anchor:middle"
y="211.36218"
x="129"
sodipodi:role="line"
id="tspan4137">or RS485 line</tspan></text>
<g
id="g4025"
transform="translate(6.9360352,3.1401367)">
<rect
y="202.22205"
x="288.06396"
height="13"
width="23"
id="rect3897"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="290"
y="212.36218"
id="text3893"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3895"
x="290"
y="212.36218">LSR</tspan></text>
</g>
<g
transform="matrix(0.61914096,0,0,0.61914096,106.2787,132.77281)"
id="g3783"
style="fill:#ffffff;fill-opacity:1;stroke-width:0.80757052;stroke-miterlimit:4;stroke-dasharray:none">
<path
inkscape:connector-curvature="0"
id="path3785"
d="m 141.73228,113.3858 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.80757052;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path3787"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:3.23028278;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 175,207.3622 10.00002,0"
id="path4057"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 161.64417,202.97465 0,10.96899 10.96899,-6.58139 -10.96899,-6.58139 z"
id="path3757"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.00000858;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3759"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.15478457,0,0,0.15478457,149.22288,189.26343)" />
<g
id="g3611"
transform="matrix(0.21125073,0,0,0.21125073,160.42962,162.07641)"
style="stroke:#000000;stroke-width:1.46541727;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none">
<path
transform="translate(-15.675442,33.433089)"
inkscape:connector-curvature="0"
id="path3607"
d="m 29.848669,186.25198 10.629922,0 3.543307,-10.62992 7.086614,0"
style="fill:none;stroke:#000000;stroke-width:1.46541727;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:1.46541727;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 35.43307,209.05515 -10.629922,0 -3.543307,10.62992 -7.086614,0"
id="path3609"
inkscape:connector-curvature="0" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4176"
d="m 145,207.3622 16.68638,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 3;stroke-dashoffset:4.8;marker-end:url(#marker3133)"
d="m 155,203.36218 0,-20.99998 95.00002,0 0,20"
id="path4178"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5521"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,159.78518,92.804179)" />
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 254.44966,245.25319 c 0,5.63566 0,5.816 0,11.45167 7.66082,0 11.45167,-2.34443 11.45167,-5.72583 0,-3.3814 -3.79085,-5.72584 -11.45167,-5.72584 z"
id="path3936-1"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 239.79692,272.34218 9.20308,0.02 0,-18.09197 5.44966,0"
id="path5450"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 215,207.36218 0,62 11.27133,0"
id="path5471"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
transform="matrix(0.6362039,0,0,0.6362039,145.02823,217.99729)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path4618"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.56;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<g
id="g4161"
transform="matrix(0.6362039,0,0,0.6362039,34.658749,51.386313)"
style="stroke-width:0.78591156;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.78591156;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 301.1811,338.38585 c 0,8.85826 0,9.14173 0,18 12.04146,0 18,-3.68503 18,-9 0,-5.31496 -5.95854,-9 -18,-9 z"
id="path5452"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:3.14364672;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5433"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.24999996,0,0,0.24999996,281.20867,317.98037)" />
</g>
<path
transform="matrix(2,0,0,2,159.78518,160.80416)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path4020"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.56;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path4030"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0.6362039,0,0,0.6362039,145.02823,259.12442)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3098)"
d="m 249,272.36218 31,0 0,-57 10,0"
id="path4220"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
transform="matrix(0.6362039,0,0,0.6362039,179.02823,283.12442)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path4408"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.56;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="235"
y="212.36218"
id="text4429"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4431"
x="235"
y="212.36218"
style="font-size:4px">line status</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4433"
y="228.36218"
x="282"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:4px"
y="228.36218"
x="282"
id="tspan4435"
sodipodi:role="line">rear panel</tspan><tspan
style="font-size:4px"
y="233.36218"
x="282"
sodipodi:role="line"
id="tspan4437">no signal detect</tspan><tspan
style="font-size:4px"
y="238.36218"
x="282"
sodipodi:role="line"
id="tspan4439">status</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="128"
y="272.36218"
id="text4443"
sodipodi:linespacing="125%"><tspan
id="tspan4447"
sodipodi:role="line"
x="128"
y="272.36218"
style="font-size:4px;text-align:center;text-anchor:middle">RS485 no</tspan><tspan
sodipodi:role="line"
x="128"
y="277.36218"
style="font-size:4px;text-align:center;text-anchor:middle"
id="tspan4451">signal detect line</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4453"
y="245.36218"
x="217"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:4px"
y="245.36218"
x="217"
id="tspan4455"
sodipodi:role="line">RS-485 line</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4457"
d="m 266,250.97902 24,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3098)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="293"
y="252.36218"
id="text4459"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="293"
y="252.36218"
style="font-size:4px"
id="tspan4472">pulse logic</tspan></text>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with sK1/UniConvertor (http://sk1project.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="595.276pt"
height="841.89pt"
viewBox="0 0 595.276 841.89"
fill-rule="evenodd"
id="svg2"
version="1.1"
inkscape:version="0.47pre4 r22446"
sodipodi:docname="ohr_logo.svg"
inkscape:export-filename="/home/kikito/Desktop/ohr_logo.png"
inkscape:export-xdpi="126.50246"
inkscape:export-ydpi="126.50246">
<metadata
id="metadata280">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<sodipodi:namedview
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1"
objecttolerance="10"
gridtolerance="10"
guidetolerance="10"
inkscape:pageopacity="0"
inkscape:pageshadow="2"
inkscape:window-width="1440"
inkscape:window-height="850"
id="namedview278"
showgrid="false"
inkscape:zoom="0.63429547"
inkscape:cx="154.33516"
inkscape:cy="531.90964"
inkscape:window-x="0"
inkscape:window-y="25"
inkscape:window-maximized="1"
inkscape:current-layer="svg2" />
<defs
id="defs4">
<inkscape:perspective
sodipodi:type="inkscape:persp3d"
inkscape:vp_x="0 : 526.18127 : 1"
inkscape:vp_y="0 : 1000 : 0"
inkscape:vp_z="744.09497 : 526.18127 : 1"
inkscape:persp3d-origin="372.04749 : 350.78752 : 1"
id="perspective282" />
<marker
id="ArrowEnd"
viewBox="0 0 10 10"
refX="0"
refY="5"
markerUnits="strokeWidth"
markerWidth="4"
markerHeight="3"
orient="auto">
<path
d="M 0 0 L 10 5 L 0 10 z"
id="path7" />
</marker>
<marker
id="ArrowStart"
viewBox="0 0 10 10"
refX="10"
refY="5"
markerUnits="strokeWidth"
markerWidth="4"
markerHeight="3"
orient="auto">
<path
d="M 10 0 L 0 5 L 10 10 z"
id="path10" />
</marker>
</defs>
<g
id="g3499"
inkscape:export-xdpi="90"
inkscape:export-ydpi="90">
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 107.755,514.353 c -5.661,-12.668 -2.428,-72.033 27.045,-123.394 22.747,-41.806 31.009,-41.861 72.045,-90.603 8.161,-9.826 9.689,-34.606 8.637,-75.755 -1.802,-55.035 14.078,-78.473 27.341,-89.73 5.865,-4.977 10.547,-7.841 14.105,-9.545 37.228,-21.361 78.903,-14.392 104.265,-5.733 3.859,1.407 7.059,3.561 10.358,5.884 21.543,15.169 31.746,47.617 30.424,75.788 -1.411,33.732 -4.26,62.909 5.092,72.584 34.4,32.294 52.584,62.536 67.218,89.578 3.994,7.378 15.965,32.437 19.234,42.973 5.74,21.891 1.526,58.494 -2.018,74.667 3.554,2.424 9.949,8.448 15.019,12.008 13.194,8.326 33.776,16.462 32.95,23.841 -0.392,5.225 -37.929,59.66 -53.917,85.024 -21.622,32.656 -52.625,70.038 -55.664,72.853 -3.51,3.063 -9.044,4.89 -13.678,5.142 -1.665,0.221 -12.546,-3.138 -25.919,-5.724 -12.175,-2.353 -27.482,-4.546 -37.974,-6.055 -5.657,-1.888 -9.645,-11.663 -13.22,-11.761 -7.337,0.199 -23.69,-3.125 -39.484,1.401 -12.9,1.922 -15.965,1.621 -30.255,0.569 -5.256,-1.29 -5.352,-3.138 -7.073,-1.194 -6.908,11.395 -15.781,25.698 -31.42,35.82 -0.768,0.734 -4.275,-0.045 -5.75,-0.609 -35.648,-13.625 -41.715,-6.383 -98.64,-30.944 -14.633,-5.781 -37.7825,-15.278 -49.3237,-18.371 -2.6059,-0.699 -4.0742,-3.158 -5.1835,-3.826 -1.5764,-0.893 -4.3026,-1.048 -5.8114,-1.619 -14.5759,-5.507 -16.3689,-17.271 -15.4357,-19.91 2.4742,-11.348 4.645,-17.421 8.1421,-26.956 11.29,-31.507 26.0679,-51.947 41.5342,-73.392 0.821,-2.451 8.543,-1.378 7.357,-3.011 z"
id="path18" />
<path
style="fill:#767b82;stroke:#676f79;stroke-width:0.500094"
d="m 225.082,191.519 c 0.121,-1.355 1.131,-3.089 1.944,-2.949 15.396,2.651 26.629,0.066 59.797,-3.31 2.052,-0.409 2.566,1.596 2.759,2.472 2.918,13.25 3.328,24.422 6.093,39.511 0.856,4.578 0.139,5.131 -2.364,6.529 -32.127,9.828 -43.471,22.124 -62.592,33.738 -1.62,0.985 -5.331,3.049 -4.775,-0.453 -1.669,-26.851 -3.398,-48.647 -0.862,-75.538 z"
id="path20" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 333.076,304.867 c 9.991,-7.049 16.336,-13.305 27.018,-18.674 2.198,-1.059 7.122,-10.116 4.789,-12.047 -1.975,-2.075 -2.534,-3.341 -1.611,-8.126 0.243,-3.622 0.569,-6.371 -1.059,-9.728 -0.327,-0.456 -1.283,-3.711 -6.181,-1.053 -0.559,0.664 -1.314,0.462 -2.03,0.062 -14.772,-7.373 -30.275,-18.78 -48.385,-21.397 -1.872,-0.464 -2.519,-2.224 -3.073,-5.382 -3.054,-17.195 -2.474,-31.38 -4.845,-48.302 -0.559,-1.931 -4.503,-5.359 -8.967,-3.97 -8.342,3.599 -33.849,7.498 -59.109,6.906 -1.951,-0.482 -4.344,0.319 -3.668,-3.667 4.56,-19.272 3.716,-21.915 23.916,-41.891 38.35,-31.721 111.302,-22.676 122.21,28.926 4.099,17.731 6.568,60.64 -0.597,95.909 -0.535,4.698 -0.96,9.285 1.697,13.51 14.242,20.576 31.349,34.56 49.562,50.885 13.271,10.635 46.231,49.613 53.953,91.5 2.585,14.518 4.455,30.965 1.328,50.454 -0.132,0.397 -0.205,1.137 -2.053,0.154 -11.715,-8.161 -24.455,-10.028 -33.638,-10.147 -3.193,-0.041 -3.118,-1.618 -3.069,-2.293 0.19,-8.567 0.634,-17.304 -0.71,-26.727 -1.472,-10.045 -7.148,-28.792 -22.716,-46.376 -2.106,-2.485 -5.204,-5.277 -11.335,-3.988 -3.5,0.875 -4.048,3.815 -3.399,6.44 4.357,15.671 12.446,28.859 13.381,51.226 -7.064,-11.201 -18.334,-24.119 -27.112,-34.54 -17.041,-17.015 -16.463,-18.441 -34.309,-28.444 -3.039,-1.305 -6.802,-2.296 -9.149,-3.601 -3.123,-1.595 -2.713,-5.43 -3.268,-8.007 -2.854,-18.884 -5.307,-33.003 -8.291,-52.254 -0.307,-1.31 -1.162,-4.158 0.72,-5.358 z"
id="path22" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 67.4257,623.695 c 14.0267,-54.464 33.2903,-66.747 53.8463,-100.062 3.215,-4.014 1.522,-3.582 -2.511,-2.086 -5.854,2.173 -6.889,-1.95 -14.409,4.888 -7.4603,6.783 -15.8039,22.053 -19.9727,28.661 -9.7038,17.012 -14.6677,27.543 -20.0041,41.488 -6.9562,16.113 -9.1531,29.193 0.1743,31.341 1.5424,0.356 1.6372,1.249 2.8762,-4.23 z"
id="path24" />
<path
style="fill:#f8d222;stroke:#f8d222;stroke-width:0.500094"
d="m 223.509,595.27 c -13.932,-13.931 -32.698,-29.305 -47.886,-42.114 -8.165,-6.888 -21.045,-17.267 -27.499,-21.995 -18.706,-13.703 -21.209,-2.344 -30.422,10.561 l -11.92,16.696 c -7.6585,10.727 -22.7348,34.92 -25.9552,48.128 -2.3658,9.701 -10.6312,22.228 1.2886,28.027 9.4062,7.588 84.6446,34.736 106.6496,39.513 11.865,2.575 18.951,3.877 32.241,5.558 17.233,2.178 35.505,-26.493 42.145,-40.268 2.876,-5.965 -13.753,-15.829 -19.215,-23.306 -4.071,-5.574 -10.48,-10.882 -19.427,-20.8 z"
id="path26" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 91.5647,634.558 c -5.6582,-1.042 -8.1074,-3.19 -5.071,-8.372 3.0365,-5.181 6.9497,-13.176 13.1321,-26.58 0.9912,-2.15 2.4962,-4.954 8.1122,-1.001 5.264,3.705 14.206,11.15 23.836,18.209 0.641,0.47 3.066,2.718 -0.2,5.912 -4.087,7.218 -3.667,9.693 -6.093,17.386 -0.826,2.608 -2.897,4.115 -7.694,1.658 -4.798,-2.458 -20.3641,-6.171 -26.0223,-7.212 z"
id="path28" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 98.4522,629.527 c -3.6944,-0.655 -5.2936,-2.007 -3.3111,-5.268 1.9826,-3.261 4.5377,-8.293 8.5749,-16.728 0.647,-1.353 1.629,-3.118 5.296,-0.63 3.437,2.332 9.276,7.017 15.563,11.459 0.419,0.296 2.002,1.711 -0.13,3.721 -2.669,4.543 -2.394,6.1 -3.978,10.942 -0.539,1.641 -1.892,2.589 -5.024,1.043 -3.133,-1.547 -13.296,-3.884 -16.9908,-4.539 z"
id="path30" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 118.049,512.132 c -6.71,-7.445 -4.264,-29.25 -1.675,-47.94 20.578,-86.046 46.603,-106.942 95.483,-155.85 3.43,-3.144 6.805,-7.069 8.245,-11.954 1.776,-7.094 3.648,-20.767 5.968,-20.269 1.864,0 0.258,-0.325 2.883,0.109 2.603,0.286 -0.65,10.661 1.519,12.574 13.994,16.271 21.91,15.299 21.877,20.208 0.408,3.182 1.738,5.733 2.239,8.476 3.564,19.524 5.688,27.751 4.23,52.557 -0.602,5.044 -2.255,4.757 -3.664,5.796 -58.282,33.955 -68.512,78.379 -73.274,111.967 -8.011,-20.073 -6.951,-48.693 4.648,-86.257 0.338,-1.404 1.468,-3.073 2.506,-4.626 4.041,-5.663 -5.011,-12.076 -9.578,-5.663 -22.607,30.029 -29.279,61.28 -26.173,89.267 5.306,26.262 25.034,47.115 45.364,63.161 22.097,18.25 22.908,20.754 32.341,31.399 3.523,5.861 -5.768,13.103 -8.58,9.324 -29.863,-26.796 -56.24,-50.309 -88.084,-71.842 -1.026,-0.694 -2.06,-1.566 -3.989,-1.601 -4.273,0.745 -11.574,2.68 -12.286,1.164 z"
id="path32" />
<path
style="fill:#767b82;stroke:#676f79;stroke-width:0.500094"
d="m 294.009,327.753 c -1.609,18.521 -0.105,37.527 0.784,56.518 -12.151,2.445 -17.594,3.373 -27.457,7.085 -29.188,11.01 -40.509,24.793 -58.915,57.059 -10.405,25.766 -9,47.682 -11.124,83.234 -16.858,-11.595 -31.971,-34.75 -34.987,-48.805 -6.692,-29.607 6.75,-60.493 13.951,-74.531 -10.621,45.371 -9.071,64.266 0.711,88.674 2.647,4.413 8.193,8.083 11.997,5.919 2.893,-2.325 1.066,-11.209 1.786,-16.433 2.259,-45.762 29.602,-87.782 71.207,-103.815 6.742,-2.585 5.147,-1.917 5.374,-6.61 0.149,-18.388 0.127,-38.509 -5.155,-59.272 -3.027,-8.71 3.938,-3.501 7.573,-1.505 7.889,4.217 16.366,9.336 24.255,12.482 z"
id="path34" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 281.418,342.075 c -0.264,0.183 -0.527,0.365 -0.785,0.549 2.375,0.039 4.638,0.587 6.344,2.638 3.824,4.6 1.742,13.093 -5.134,12.885 -6.152,-0.186 -10.642,-6.552 -8.384,-12.419 0.747,-1.941 2.36,-3.768 4.483,-4.207 1.184,-0.245 2.313,0.223 3.476,0.554 z"
id="path36" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 281.559,362.52 c -0.226,0.669 -0.476,1.329 -0.724,1.987 3.878,1.176 7.422,3.962 6.477,8.55 -1.155,5.613 -11.374,8.345 -12.671,1.49 -0.648,-3.425 0.41,-7.248 2.744,-9.682 -0.192,-0.154 -0.368,-0.298 -0.52,-0.432 l 0.114,-0.572 c 0.452,0.014 0.92,0.052 1.397,0.115 0.921,-0.704 1.988,-1.214 3.183,-1.456 z"
id="path38" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 273.868,321.819 0.141,-0.368 c 3.157,-0.576 6.555,-0.64 8.874,2.055 3.738,4.345 0.107,13.443 -6.128,11.501 -3.959,-1.234 -8.424,-6.332 -6.277,-10.661 0.81,-1.631 1.995,-2.285 3.39,-2.527 z"
id="path40" />
<path
style="fill:none;stroke:#ff0000;stroke-width:0.500094"
d="m 278.38,329.04 0.026,-0.005 0.013,-0.013 0.006,-0.01 -0.045,0.028 z"
id="path42" />
<path
style="fill:#767b82;stroke:#676f79;stroke-width:0.500094"
d="m 300.096,326.255 c -0.385,12.457 -0.139,31.878 0.96,38.269 0.427,10.884 0.924,14.981 0.816,18.582 23.806,-3.953 38.885,-1.19 48.696,4.928 16.884,12.628 26.771,23.593 35.84,36.456 4.21,5.795 13.99,28.362 12.441,39.189 8.105,-3.923 19.249,-5.798 30.426,-5.624 1.062,-5.425 7.014,-35.772 -15.674,-64.863 4.968,17.92 10.255,38.332 8.207,57.236 -1.459,4.571 -8.977,5.332 -10.679,1.368 -3.449,-13.224 -2.686,-12.062 -8.768,-20.253 -13.258,-17.709 -24.737,-32.038 -41.375,-44.589 -5.815,-4.631 -7.212,-6.602 -21.212,-11.028 -2.009,-1.067 -9.561,-0.335 -7.148,-8.174 2.079,-7.055 -4.121,-33.797 -6.799,-52.945 -0.077,-7.553 -7.015,-0.787 -8.086,-0.386 -7.15,5.028 -17.499,11.106 -17.645,11.834 z"
id="path44" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 368.464,479.697 c 1.592,3.184 6.129,4.788 9.693,5.893 1.866,0.579 4.908,-2.632 8.083,-2.58 3.487,0.057 1.86,-1.873 1.86,-4.87 0,-4.776 -3.728,-3.602 -7.918,-2.884 l -7.159,1.227 c -1.383,0.236 -5.683,1.528 -4.559,3.214 z"
id="path46" />
<path
style="fill:#417c29;stroke:#417c29;stroke-width:0.500094"
d="m 233.268,460.889 c 20.193,-0.267 68.437,-8.591 82.849,-8.965 l 23.804,-0.618 c 6.799,-0.177 16.016,-0.52 29.678,3.4 1.327,0.381 2.183,0.306 2.473,1.855 1.564,8.343 0.23,13.092 -3.401,13.911 -10.305,2.327 -10.548,11.712 -13.911,25.968 -4.472,18.958 -4.935,26.751 -6.214,30.63 -0.337,1.022 -5.611,-1.284 -17.576,2.166 -16.6,2.013 -43.935,2.959 -45.998,3.048 -42.753,1.845 -55.842,5.006 -55.842,-15.706 0,-8.795 -3.022,-55.689 4.138,-55.689 z"
id="path48" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 107.344,580.938 18.791,-35.711 c 2.997,-5.696 7.418,-11.506 14.976,-5.336 3.8,3.102 8.675,7.332 11.853,8.524 6.254,2.346 9.091,6.789 5.388,10.709 -3.077,3.256 -7.076,11.3 -9.635,17.561 -1.957,4.787 -4.355,10.724 -6.101,16.553 -5.061,16.899 -6.809,18.705 -17.285,10.333 l -4.943,-3.949 c -5.047,-4.034 -11.9,-11.919 -13.597,-13.423 -2.134,-1.891 0.981,-4.808 0.553,-5.261 z"
id="path50" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 113.135,583.573 c 2.546,3.587 7.105,8.127 10.807,10.555 3.354,2.199 5.306,4.736 9.359,5.018 0.923,0.064 1.736,-2.695 2.486,-4.534 1.091,-2.672 3.105,-11.359 3.439,-12.418 2.1,-6.664 14.43,-25.125 10.274,-28.981 -1.919,-1.781 -5.207,-3.24 -6.942,-4.976 -4.05,-4.049 -8.93,-5.791 -10.992,0.463 -1.175,3.565 -10.451,19.726 -12.959,23.488 -1.762,2.643 -5.588,8.261 -5.472,11.385 z"
id="path52" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 337.347,637.313 c -10.749,4.217 -38.481,12.749 -65.238,3.463 -10.196,11.363 1.019,10.633 12.465,9.824 10.074,-0.713 19.593,-1.061 29.914,-2.506 6.053,-0.847 14.506,0.547 20.672,0.7 4.372,0.107 3.129,-2.12 2.395,-5.504 -0.433,-1.992 -0.387,-3.984 -0.208,-5.977 z"
id="path54" />
<path
style="fill:#b6bcc6;stroke:#b6bcc6;stroke-width:0.500094"
d="m 239.955,568.996 c 2.23,8.911 -0.22,18.478 -4.334,21.548 -3.845,2.717 -2.712,3.582 -1.082,5.289 16.895,17.56 22.452,22.72 36.889,34.886 15.87,10.488 46.415,8.17 64.008,-2.299 1.45,-0.767 2.848,-2.747 2.793,-4.736 -0.633,-22.764 1.465,-55.995 8.341,-84.538 0.776,-3.397 -2.277,-3.083 -2.772,-3.108 -31.698,1.954 -55.738,4.741 -77.736,4.323 -14.084,-0.799 -37.714,3.891 -42.043,-1.983 -6.963,-10.44 -1.362,-26.19 -2.249,-38.7 -1.064,-15.025 -1.458,-36.783 3.14,-42.175 7.005,-8.217 46.451,-5.78 74.215,-11.397 31.608,-3.773 49.453,-3.142 68.912,-1.608 2.865,0.323 11.658,4.022 11.323,8.98 -0.201,4.026 -1.242,8.144 -0.23,12.729 0.804,2.953 1.61,2.361 3.534,2.608 3.334,-0.143 2.737,0.106 4.71,-0.201 2.624,-0.666 0.942,-1.432 1.291,-5.375 0.229,-14.171 -4.722,-33.729 -26.016,-54.322 -21.293,-20.592 -30.799,-20.643 -51.81,-20.334 -38.953,4.217 -60.911,15.299 -76.078,32 -20.909,27.808 -32.34,47.744 -30.032,111.02 0.163,7.889 4.383,12.397 24.472,24.345 l 10.754,13.048 z"
id="path56" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 355.62,529.278 c 0.549,-3.005 6.013,-31.122 7.105,-35.477 1.371,-5.465 1.828,-6.428 6.176,-5.192 8.566,2.438 4.819,13.435 4.049,21.132 -1.292,12.917 -2.427,24.425 -3.914,36.21 -2.907,23.042 -7.973,50.453 -13.074,74.879 -2.228,10.67 -2.534,25.814 -5.521,33.163 -0.418,1.03 -3.024,-0.418 -3.495,-2.898 -4.087,-21.524 -1.217,-34.093 -1.217,-55.593 0,-14.063 7.259,-51.817 9.891,-66.224 z"
id="path58" />
<path
style="fill:#f8d222;stroke:#f8d222;stroke-width:0.500094"
d="m 359.828,651.35 c 6.968,-42.449 16.399,-97.964 23.748,-149.613 0.306,-1.943 4.034,-6.907 5.481,-7.48 5.44,-2.914 6.286,-4.589 11.726,-7.503 25.645,1.36 54.178,4.295 79.823,5.655 16.734,5.949 29.794,11.505 40.097,19.029 4.867,2.915 6.504,12.219 2.405,17.895 -8.018,13.159 -17.424,25.551 -25.592,38.584 -19.932,31.8 -39.627,61.582 -65.259,90.194 -3.692,4.604 -11.017,13.695 -20.692,11.889 -13.677,-4.332 -28.85,-5.673 -41.673,-7.227 -7.557,-0.602 -11.054,-7.188 -10.064,-11.423 z"
id="path60" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 482.131,528.976 c -1.24,2.617 -3.135,5.104 -5.427,8.592 -1.732,2.636 -3.56,8.568 0.613,10.55 l 9.027,4.287 c 2.513,1.193 2.638,3.744 3.605,3.714 7.3,-0.23 1.515,-0.835 10.207,-7.899 1.874,-1.522 4.365,-4.669 5.873,-6.478 l 7.763,-9.313 c 8.035,-4.775 3.367,-9.623 -2.964,-12.71 -4.453,-2.172 -12.663,-5.425 -17.849,-5.425 -1.051,0 -3.537,0.906 -4.076,1.679 -2.11,3.025 -4.736,8.702 -6.772,13.003 z"
id="path62" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 392.849,500.413 75.864,3.525 c 3.249,0.151 8.808,1.341 12.127,1.974 2.804,0.536 5.621,2.533 4.794,5.218 -0.786,2.554 -11.571,16.516 -11.986,17.062 -1.95,2.567 -9.215,16.357 -14.101,16.357 -9.165,0 -20.165,-3.95 -29.753,-5.499 -9.622,-1.554 -20.341,-3.103 -30.036,-4.089 -10.1,-1.029 -12.991,-2.183 -11.844,-11.845 0.987,-8.32 -0.706,-20.87 4.935,-22.703 z"
id="path64" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 250.062,205.816 c 0.002,0 0.004,-0.001 0.006,-0.002 0.096,-0.035 0.194,-0.065 0.292,-0.091 5.797,-1.844 11.86,-4.389 18.071,-3.058 5.138,1.101 10.974,5.938 13.68,10.413 3.165,5.232 1.541,11.415 -1.495,16.3 -6.549,10.538 -20.003,18.998 -32.287,14.085 -5.353,-2.141 -10.193,-5.673 -11.845,-11.478 -1.648,-5.789 -0.555,-12.401 3.196,-17.132 l 9.062,-8.196 c 0.006,-0.007 0.014,-0.013 0.021,-0.02 0.119,-0.117 0.246,-0.226 0.382,-0.327 0.011,-0.008 0.023,-0.017 0.034,-0.025 0.019,-0.014 0.037,-0.027 0.056,-0.04 0.006,-0.004 0.011,-0.008 0.017,-0.011 0.045,-0.031 0.089,-0.061 0.135,-0.089 0.012,-0.008 0.025,-0.015 0.038,-0.023 0.197,-0.12 0.405,-0.22 0.618,-0.299 0.006,-0.002 0.012,-0.005 0.019,-0.007 z"
id="path66" />
<path
style="fill:#f8d222;stroke:#f8d222;stroke-width:0.500094"
d="m 300.245,315.651 c 1.574,0.947 2.515,-0.606 10.498,-5.352 7.187,-4.273 15.25,-7.945 20.533,-11.763 7.354,-5.315 25.549,-17.509 24.641,-25.042 -0.642,-5.321 -14.214,10.566 -18.936,14.215 -10.67,8.247 -20.691,18.004 -35.328,24.669 -1.166,0.576 -2.139,2.442 -1.408,3.273 z"
id="path68" />
<path
style="fill:#f8d222;stroke:#f8d222;stroke-width:0.500094"
d="m 238.507,279.676 c 1.909,0.597 6.445,4.889 7.159,5.369 l 6.204,4.176 c 2.387,1.552 8.966,4.859 11.693,6.205 6.46,3.188 14.731,8.157 20.762,12.409 l 9.306,6.562 c 4.417,3.114 -5.012,3.361 -11.096,-0.477 -4.609,-2.907 -13.006,-5.803 -18.733,-9.187 -4.88,-2.884 -14.437,-7.159 -18.494,-10.858 -2.563,-2.337 -5.748,-3.694 -6.682,-7.517 -0.378,-1.546 -2.148,-7.04 -0.119,-6.682 z"
id="path70" />
<path
style="fill:#f8d222;stroke:#f8d222;stroke-width:0.500094"
d="m 244.212,274.334 c 0.191,2.278 5.742,6.266 8.352,7.403 7.403,3.227 15.172,8.003 20.501,10.63 8.148,4.016 13.668,11.39 24.108,11.39 5.505,0 14.502,-7.123 18.982,-10.061 6.075,-3.983 12.067,-8.629 17.084,-13.288 l 15.945,-14.806 c 2.837,-2.634 -1.374,-4.982 -4.745,-7.593 -6.409,-4.963 -10.058,-4.947 -18.983,-10.06 -7.083,-4.059 -19.843,-7.971 -28.473,-6.644 -7.403,1.138 -18.638,6.738 -26.006,10.44 -8.674,4.358 -26.955,14.047 -26.765,22.589 z"
id="path72" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 297.904,545.735 c 2.396,-0.288 4.556,0.259 5.919,2.701 2.06,3.686 -0.232,7.974 -4.483,7.802 -3.554,-0.144 -8.933,-3.863 -6.925,-8.003 1.011,-2.084 3.189,-2.289 5.237,-2.515 0.086,0 0.169,0.005 0.252,0.015 z"
id="path74" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 294.603,560.095 c 0.438,-0.516 1.128,-0.794 1.843,-0.675 3.294,1.113 6.066,4.692 4.64,8.272 -1.198,3.005 -5.618,1.937 -7.535,0.531 -2.1,-1.54 -3.415,-4.711 -1.413,-6.86 0.701,-0.753 1.55,-1.086 2.465,-1.268 z"
id="path76" />
<path
style="fill:none;stroke:none"
d="m 295.859,248.272 -3.157,21.914 c -1.485,10.309 1.044,10.501 1.26,22.27"
id="path80" />
<path
style="fill:#1f2123;stroke:#1f2123;stroke-width:0.500094"
d="m 467.032,370.467 c -19.85,-45.516 -51.177,-74.955 -60.487,-85.241 -0.147,-0.163 -0.29,-0.322 -0.426,-0.475 -5.555,-6.336 -12.057,-13.893 -13.059,-25.558 0.993,-23.854 2.247,-42.934 0.369,-65.301 -1.801,-28.672 -6.944,-59.21 -43.6,-69.757 30.16,20.427 29.66,42.071 32.427,61.447 1.985,24.27 -1.256,56.069 -1.545,71.746 -1.87,20.407 10.016,26.761 14.744,32.878 13.685,14.682 50.186,44.053 71.577,80.261 z"
id="path82" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 464.018,508.461 c 1.79,0 13.877,1.413 10.307,7.147 -4.252,6.826 -4.648,9.844 -9.88,16.65 -7.507,9.764 -13.314,5.362 -19.591,4.304 l -23.768,-4.002 c -27.075,-4.561 -25.359,-3.802 -25.359,-8.88 l 0,-12.528 c 17.301,-3.191 36.202,1.265 53.259,-2.884 1.998,-0.461 10.603,-2.459 12.14,-0.922 0.614,0.614 2.485,0.962 2.892,1.115 z"
id="path84" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 310.591,548.58 c 0.421,-1.593 1.319,-2.973 3.257,-3.183 3.292,-0.356 9.214,2.81 8.056,6.832 -0.826,2.867 -5.66,4.193 -8.116,2.885 -1.5,-0.8 -2.313,-2.316 -3.28,-3.642 -0.776,-0.777 -0.776,-2.036 0,-2.812 0.028,-0.028 0.055,-0.054 0.083,-0.08 z"
id="path86" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 314.978,559.301 c 1.714,2.222 2.526,5.314 1.347,7.969 -1.123,2.526 -3.846,2.472 -5.775,0.918 -2.448,-1.972 -3.504,-5.559 -1.937,-8.409 0.902,-1.639 2.286,-2.359 3.948,-3.025 1.059,-0.293 2.155,0.327 2.448,1.385 0.111,0.397 0.091,0.799 -0.031,1.162 z"
id="path88" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 296.969,549.787 -0.762,0.101 -0.149,0.064 -0.024,0.014 0.015,0.074 0.118,0.279 c 0.528,0.909 4.496,3.388 4.448,1.075 -0.034,-1.639 -1.255,-2.032 -2.614,-1.707 -0.341,0.129 -0.696,0.157 -1.032,0.1 z"
id="path90" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 296.355,563.842 -1.091,0.136 -0.125,0.049 -0.014,0.007 0.005,0.026 0.082,0.191 c 0.485,0.837 1.345,1.21 2.253,1.363 l -0.064,-0.264 c -0.22,-0.528 -0.484,-1.097 -0.887,-1.516 -0.052,0.005 -0.105,0.008 -0.159,0.008 z"
id="path92" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 325.735,547.45 c 2.512,-3.106 8.664,-3.632 10.675,0.448 1.113,2.258 0.132,5.033 -2.494,5.421 -1.802,0.266 -3.656,-0.584 -5.293,-1.182 l -0.453,-0.175 -0.077,-0.028 c -1.058,-0.045 -1.901,-0.916 -1.901,-1.986 0,-0.004 10e-4,-0.007 10e-4,-0.01 -0.715,-0.6 -0.929,-1.641 -0.458,-2.488 z"
id="path94" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 330.829,558.627 c 2.469,1.107 6.172,2.711 5.033,5.892 -1.471,4.106 -8.986,4.958 -10.499,0.49 -0.97,-2.866 0.848,-5.174 3.004,-6.765 0.824,-0.475 1.847,-0.293 2.462,0.383 z"
id="path96" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 270.164,546.402 c 4.619,-1.875 11.107,-0.078 13.616,4.334 3.166,5.567 4.467,12.057 -1.42,16.231 -5.239,3.714 -14.483,3.822 -18.817,-1.429 -4.008,-4.856 -3.843,-12.723 1.146,-16.827 1.685,-1.386 3.495,-1.985 5.475,-2.309 z"
id="path98" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 267.302,556.065 c -0.123,0.483 -0.16,1.019 -0.089,1.608 0.684,5.661 7.078,6.884 11.448,3.938 3.969,-2.675 -0.421,-9.713 -4.77,-9.419 -0.035,0.021 -0.07,0.042 -0.106,0.061 1.06,0.754 1.606,2.106 1.271,3.444 -0.436,1.744 -2.202,2.804 -3.946,2.368 -1.231,-0.445 -2.677,-1.094 -3.808,-2 z"
id="path100" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 314.265,550.164 c 0.558,0.884 1.21,1.628 2.302,1.336 l 1.17,-0.385 -0.125,-0.195 -0.777,-0.741 -0.912,-0.556 c -1.298,-0.666 -1.573,-0.493 -1.658,0.541 z"
id="path102" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 312.107,561.91 c -0.448,0.921 -0.245,2.067 0.686,2.959 l 0.006,-0.231 c -0.09,-0.906 -0.214,-1.927 -0.692,-2.728 z"
id="path104" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 331.605,548.968 c 0.264,0.096 0.51,0.183 0.73,0.246 l 0.268,0.06 c -0.226,-0.183 -0.588,-0.276 -0.998,-0.306 z"
id="path106" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 329.538,562.469 c -0.456,0.535 -0.812,1.194 -0.249,1.513 0.617,0.35 1.63,-0.199 2.201,-0.501 l -1.952,-1.012 z"
id="path108" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 253.347,563.463 c -0.005,0.891 -0.374,1.778 -1.093,2.417 -4.581,3.154 -9.342,5.848 -15.118,4.294 -8.297,-2.233 -12.905,-12.736 -8.108,-20.108 4.297,-6.603 12.28,-5.68 18.964,-4.055 6.926,1.685 6.809,9.464 6.065,15.006 -0.111,0.827 -0.347,1.671 -0.71,2.446 z"
id="path110" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 245.615,557.205 1.955,1.829 0.173,0.129 c 0.369,-2.508 1.144,-6.356 -2.013,-7.049 -4.155,-0.912 -10.961,-2.255 -12.048,3.552 -0.648,3.462 1.699,7.306 5.124,8.218 1.523,0.405 2.936,0.267 4.272,-0.171 -0.713,-0.645 -1.394,-1.312 -2.065,-1.906 -1.271,-1.271 -1.271,-3.331 0,-4.602 1.271,-1.27 3.331,-1.27 4.602,0 z"
id="path112" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 248.165,498.513 9.59,-5.826 c 5.089,-2.321 10.436,-1.122 15.858,-1.833 5.609,-0.735 12.658,-3.012 13.985,-9.454 0.905,-4.396 1.957,-10.386 -0.558,-14.532 -4.122,-6.792 -14.222,-5.811 -20.805,-5.094 -8.498,0.925 -17.133,4.004 -23.302,10.112 -4.848,4.802 -6.515,10.098 -8.147,16.164 -1.436,4.793 -0.679,10.423 4.714,12.833 3.608,1.613 6.177,-0.82 8.665,-2.37 z"
id="path114" />
<path
style="fill:#adeca8;stroke:#adeca8;stroke-width:0.500094"
d="m 243.091,493.939 c -2.522,1.479 -1.809,-3.165 -1.428,-4.431 1.467,-5.428 2.725,-9.551 6.491,-13.262 7.051,-6.946 17.425,-8.622 26.914,-8.729 1.566,-0.018 6.883,0.236 7.2,2.857 0.376,3.109 1.222,9.121 -1.906,11.536 -4.483,3.463 -11.499,2.193 -16.693,2.52 -6.009,0.377 -16.307,5.274 -20.578,9.509 z"
id="path116" />
<path
style="fill:#d2f799;stroke:#d2f799;stroke-width:0.500094"
d="m 354.161,499.012 c -0.272,1.187 -0.527,2.326 -0.768,3.421 -0.338,0.189 -0.727,0.297 -1.143,0.297 -4.945,0.382 -11.024,2.019 -16,0.841 -4.57,-1.082 -11.365,-1.696 -15.436,1.133 -2.722,1.891 -5.949,2.138 -7.052,-1.628 l -0.302,-1.363 -0.251,-0.916 -0.048,-0.099 -0.203,-0.012 -0.281,0.021 c -3.75,0.506 -4.53,8.779 -9.192,7.069 -1.632,-0.599 -2.74,-2.446 -3.184,-4.04 l -2.815,-13.974 -2.945,7.099 -5.55,13.378 -0.123,0.27 c -0.657,1.512 -2.907,2.17 -4.084,0.831 -2.1,-2.391 -1.432,-6.373 -3.136,-9.1 -0.732,-1.172 -1.882,-0.377 -2.554,0.552 -2.409,3.338 -2.384,10.958 -8.461,9.154 -3.411,-1.013 -5.846,-4.166 -8.86,-5.908 -1.083,-0.626 -2.643,0.76 -3.517,1.042 -1.625,0.524 -3.309,0.828 -5.013,0.927 l -24.278,1.003 c -0.013,0.001 -0.025,0.001 -0.038,0.001 -0.048,-1.448 -0.101,-3.025 -0.153,-4.697 l 24.469,-1.007 c 3.394,-0.178 6.875,-3.345 10.27,-1.635 3.025,1.522 5.209,4.17 8.199,5.667 l 0.3,0.114 0.073,0.017 0.066,-0.092 0.271,-0.546 0.649,-1.846 c 1.467,-4.016 4.782,-10.124 10.106,-7.549 2.026,0.98 3.155,3.19 3.842,5.287 l 3.18,-7.664 5.549,-13.374 0.195,-0.393 c 0.834,-1.772 3.416,-1.502 4.306,0.008 0.84,1.425 1.067,3.552 1.349,5.074 l 1.847,10.588 1.244,5.087 0.044,0.1 c 2.301,-3.184 5.273,-7.203 9.794,-5.979 1.446,0.391 2.517,1.517 3.053,2.898 l 0.474,1.651 0.034,0.156 c 2.411,-1.603 4.47,-2.192 7.402,-2.454 l 2.697,-0.205 5.26,-0.01 4.167,0.903 -0.189,-0.091 0.262,0.043 0.549,0.036 0.749,0.003 13.265,-1.071 c 0.788,0 1.485,0.386 1.911,0.982 z"
id="path118" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 278.606,344.29 c -1.223,1.27 -1.84,2.793 -0.933,5.004 0.926,2.258 2.897,2.929 5.159,2.419 1.802,-0.407 1.022,-3.574 0.275,-4.557 -1.003,-1.318 -2.834,-2.127 -4.501,-2.866 z"
id="path120" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 275.57,323.019 c -1.01,1.039 -2.043,2.361 -1.315,3.471 0.678,1.033 1.636,1.807 2.78,2.284 l 0.666,0.221 0.525,0.075 0.154,-0.03 -0.015,0.009 -0.166,0.107 -0.186,0.165 0.129,-0.02 0.264,-0.266 0.073,-0.014 -0.015,-0.033 -0.039,0.024 0.297,-0.475 c 1.486,-2.902 -0.87,-4.241 -3.152,-5.518 z"
id="path122" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 279.961,366.995 c -0.52,1.717 -0.801,3.474 -0.377,5.381 l 0.215,0.479 0.098,0.115 0.068,0.028 0.018,-0.048 -0.044,-0.096 -0.093,-0.107 c -0.575,-0.601 2.303,-0.597 2.453,-1.441 0.268,-1.507 -0.934,-3.019 -2.338,-4.311 z"
id="path124" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 388.055,491.931 c 7.415,11.614 8.662,20.574 14.84,31.834 10.514,16.263 28.007,11.836 31.437,10.404 11.73,-5.163 20.5,-17.082 36.88,-28.388 7.006,-6.081 10.829,-15.698 8.995,-19.834 l -83.308,0.82 -8.844,5.164 z"
id="path126" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 413.013,523.761 c -1.306,-0.979 -5.262,-8.427 -6.448,-11.599 -2.689,-7.194 -9.113,-16.83 -9.113,-24.883 0,-8.272 -3.863,-12.212 7.597,-16.81 12.486,-5.009 26.287,-6.181 40.838,-4.396 8.287,1.016 20.914,4.329 25.404,10.903 3.275,4.795 -1.478,18.075 -4.536,20.911 l -17.767,16.482 c -3.862,3.582 -12.447,11.546 -19.63,11.546 -2.612,0 -10.924,1.2 -16.345,-2.154 z"
id="path128" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 497.371,520.641 c -4.763,0.772 -11.951,14.588 -14.838,19.526 -3.306,5.657 8.621,8.418 10.232,7.836 4.678,-1.689 7.925,-7.893 8.963,-9.035 2.214,-2.431 10.48,-11.767 7.515,-13.185 -5.084,-2.431 -6.622,-4.793 -11.872,-5.142 z"
id="path130" />
<path
style="fill:none;stroke:none"
d="m 253.108,272.087 c 5.49,-0.845 -0.845,5.912 -0.845,0.422"
id="path134" />
<path
style="fill:none;stroke:none"
d="m 264.51,280.111 c 1.267,-1.056 3.59,-2.956 3.167,0.211 -0.422,2.956 -4.223,-0.634 -2.322,-1.478"
id="path138" />
<path
style="fill:none;stroke:none"
d="m 276.757,287.923 c 0,-8.235 1.056,4.857 0,0.423 -0.422,-1.689 -4.434,1.056 -0.845,-3.168"
id="path142" />
<path
style="fill:none;stroke:none"
d="m 302.307,291.302 c -0.845,-2.745 3.8,-1.689 5.067,-0.422 1.69,1.689 -1.478,4.012 -3.378,1.478"
id="path146" />
<path
style="fill:none;stroke:none"
d="m 318.777,282.645 c 0,-1.69 2.534,-4.012 4.223,-2.534 1.478,1.267 -3.167,3.589 -3.167,1.689"
id="path150" />
<path
style="fill:none;stroke:none"
d="m 338.414,269.342 c -7.39,-2.323 6.546,0.844 -0.211,0.844"
id="path154" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 258.581,132.113 0.295,-1.44 0.068,-0.213 c 0.13,-1.175 1.126,-2.09 2.335,-2.09 1.298,0 2.351,1.053 2.351,2.351 l -0.295,1.44 -0.067,0.209 c -0.128,1.178 -1.126,2.094 -2.337,2.094 -1.298,0 -2.35,-1.053 -2.35,-2.351 z"
id="path156" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 248.739,141.528 c -1.601,-1.055 -3.019,-2.672 -1.344,-4.398 0.638,-0.657 1.543,-0.682 2.394,-0.751 1.298,0 2.35,1.052 2.35,2.35 0,0.118 -0.008,0.235 -0.025,0.348 0.07,0.462 0.002,0.949 -0.222,1.398 -0.58,1.162 -1.992,1.633 -3.153,1.053 z"
id="path158" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 273.344,131.02 c -1.546,-0.923 -4.112,-2.434 -2.777,-4.654 0.924,-1.537 2.721,-1.426 4.292,-1.478 1.298,0 2.35,1.053 2.35,2.351 0,0.479 -0.143,0.926 -0.39,1.297 0.103,0.533 0.022,1.102 -0.268,1.61 -0.644,1.127 -2.08,1.518 -3.207,0.874 z"
id="path160" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 284.175,129.549 c -2.051,-0.676 -4.142,-1.562 -3.837,-4.14 0.318,-2.692 4.103,-4.802 6.369,-3.022 1.622,1.274 0.924,3.253 0.351,4.858 -0.033,0.067 -0.069,0.132 -0.107,0.194 -0.007,0.077 -0.018,0.154 -0.033,0.232 -0.239,1.276 -1.467,2.117 -2.743,1.878 z"
id="path162" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 295.985,129.891 c -2.559,-0.803 -5.481,-2.802 -3.529,-5.832 2.278,-3.537 6.42,-1.396 6.688,2.135 0,0.455 -0.129,0.88 -0.353,1.24 0.013,0.201 10e-4,0.407 -0.04,0.614 -0.255,1.273 -1.493,2.098 -2.766,1.843 z"
id="path164" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 304.59,127.023 c -1.264,-1.217 -2.255,-2.819 -0.603,-4.278 2.369,-2.09 7.775,0.524 7.487,3.692 -0.203,2.232 -2.984,2.327 -4.582,2.455 -1.134,0 -2.08,-0.801 -2.302,-1.869 z"
id="path166" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 323.257,130.982 c -2.279,-0.159 -6.378,-1.101 -4.858,-4.386 0.991,-2.14 4.238,-2.946 6.174,-1.692 1.238,0.802 1.592,1.996 1.73,3.379 0,1.059 -0.701,1.956 -1.664,2.249 -0.388,0.283 -0.865,0.45 -1.382,0.45 z"
id="path168" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 347.404,135.83 c 1.612,-0.767 3.365,-1.131 4.585,0.341 2.392,2.885 -2.249,7.396 -5.332,6.304 -0.484,-0.172 -0.87,-0.424 -1.178,-0.735 -0.61,-0.108 -1.176,-0.456 -1.546,-1.011 -0.639,-0.96 -0.481,-2.217 0.32,-2.991 0.145,-1.159 1.134,-2.056 2.332,-2.056 0.288,0 0.563,0.053 0.819,0.148 z"
id="path170" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 333.793,128.576 c 0.106,-0.45 0.326,-0.886 0.643,-1.264 0.917,-0.917 2.405,-0.917 3.323,0 0.114,0.114 0.213,0.237 0.298,0.366 l 0.526,0.32 c 5.453,4.065 -5.784,10.141 -7.365,4.423 -0.584,-2.109 0.855,-3.252 2.575,-3.845 z"
id="path172" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 354.483,150.534 c -1.804,-0.746 -3.844,-2.061 -2.933,-4.384 1.936,-4.935 11.861,-1.797 9.861,3.125 -1.051,2.586 -4.114,2.004 -6.278,1.367 -0.216,-0.005 -0.433,-0.04 -0.65,-0.108 z"
id="path174" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 360.204,158.846 c -0.105,-2.008 0.136,-4.362 2.498,-4.857 3.39,-0.711 8.413,5.566 5.38,8.381 -2.079,1.931 -5.001,-0.248 -6.843,-1.316 -0.755,-0.503 -1.125,-1.365 -1.035,-2.208 z"
id="path176" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 365.681,173.358 c -1.285,-1.236 -3.668,-3.339 -2.048,-5.343 2.096,-2.594 8.802,-1.036 8.998,2.547 0.132,2.399 -2.365,2.849 -4.335,3.002 -0.808,0.455 -1.843,0.405 -2.615,-0.206 z"
id="path178" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 366.97,179.521 c 0.668,-1.088 1.676,-1.799 3.206,-1.515 3.973,0.735 4.505,7.545 0.586,8.807 -1.584,0.509 -2.862,0.193 -3.827,-0.585 -0.49,-0.268 -0.875,-0.705 -1.074,-1.234 -0.569,-0.907 -0.927,-2.018 -1.07,-3.13 0,-1.24 0.961,-2.256 2.179,-2.343 z"
id="path180" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 366.882,195.121 c 10e-4,-0.008 0.003,-0.016 0.004,-0.024 -0.889,-1.11 -1.154,-2.552 -1.171,-3.948 0.08,-1.296 1.194,-2.282 2.49,-2.202 0.692,0.042 1.294,0.381 1.695,0.883 0.619,-0.226 1.356,-0.221 2.233,0.125 2.109,0.832 3.322,3.976 1.812,5.832 -0.724,0.89 -1.832,1.239 -2.977,1.206 -0.502,0.564 -1.264,0.875 -2.067,0.768 -1.287,-0.171 -2.191,-1.353 -2.019,-2.64 z"
id="path182" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 366.711,243.253 c -2.14,-1.948 -2.704,-4.666 10e-4,-6.518 3.673,-2.514 8.917,1.307 7.159,5.589 -0.739,1.801 -2.816,2.734 -4.647,2.049 -0.488,-0.183 -0.883,-0.437 -1.205,-0.746 -0.448,0.007 -0.902,-0.113 -1.308,-0.374 z"
id="path184" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 363.062,253.311 c 0.952,-2.018 2.271,-4.97 5.094,-3.857 3.461,1.365 4.881,8.753 1.239,10.632 -2.308,1.191 -4.24,-0.67 -5.954,-2.015 -0.824,-0.823 -0.909,-2.105 -0.255,-3.022 -0.254,-0.523 -0.314,-1.141 -0.124,-1.738 z"
id="path186" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 364.477,277.674 c -0.51,-1.449 -0.608,-2.979 1.097,-3.86 2.79,-1.442 7.426,1.83 6.267,4.997 -0.809,2.211 -3.385,2.253 -5.347,2.42 -1.298,0 -2.35,-1.052 -2.35,-2.35 0,-0.442 0.121,-0.854 0.333,-1.207 z"
id="path188" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 368.32,291.409 c 0.229,-2.722 1.853,-4.523 4.755,-4.29 2.14,0.172 5.586,1.881 5.324,4.485 -0.082,0.812 -0.473,1.503 -1.105,1.974 -0.897,2.04 -3.853,3.126 -5.815,1.565 -0.436,-0.346 -0.755,-0.844 -0.913,-1.386 -1.25,-0.055 -2.246,-1.085 -2.246,-2.348 z"
id="path190" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 377.875,299.502 c 1.985,-2.181 5.125,-4.315 8.258,-3.062 4.838,1.933 2.627,6.95 -1.028,8.511 -1.377,0.588 -3.667,0.859 -4.576,-0.729 l -0.482,-0.954 -0.009,-0.031 c -0.135,-0.074 -0.263,-0.16 -0.38,-0.258 -0.297,-0.035 -0.592,-0.127 -0.869,-0.281 -1.135,-0.63 -1.544,-2.061 -0.914,-3.196 z"
id="path192" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 392.26,312.253 c -0.088,-0.028 -0.176,-0.057 -0.265,-0.088 -0.489,-0.021 -0.978,-0.067 -1.465,-0.124 -1.004,-0.287 -1.669,-1.185 -1.704,-2.175 -0.354,-0.697 -0.408,-1.54 0.029,-2.546 1.312,-3.02 5.725,-3.056 7.872,-1.031 1.485,1.401 1.599,3.812 -0.297,4.949 -0.871,0.523 -1.805,0.784 -2.763,0.887 -0.43,0.181 -0.917,0.235 -1.407,0.128 z"
id="path194" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 416.208,335.904 c -1.787,-1.168 -5.454,-3.337 -3.163,-5.92 1.933,-2.177 6.675,-0.549 8.742,0.445 1.594,0.766 3.03,2.821 1.53,4.446 -1.343,1.456 -3.449,1.223 -5.255,1.33 -0.12,0 -0.237,-0.008 -0.352,-0.025 -0.5,0.076 -1.027,-0.009 -1.502,-0.276 z"
id="path196" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 399.133,321.246 c 0.205,-2.1 1.113,-4.444 3.573,-4.702 2.95,-0.308 7.779,2.181 5.944,5.797 -1.292,2.546 -4.799,2.423 -7.167,2.585 -1.298,0 -2.35,-1.052 -2.35,-2.35 0,-0.231 0.033,-0.453 0.095,-0.664 -0.062,-0.211 -0.095,-0.435 -0.095,-0.666 z"
id="path198" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 426.369,342.627 c 1.879,-3.041 6.734,-5.137 8.725,-0.834 1.964,4.248 -2.58,8.094 -6.659,6.823 -1.794,-0.559 -2.773,-1.822 -3.223,-3.558 -0.167,-1 0.324,-1.958 1.157,-2.431 z"
id="path200" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 438.823,359.598 c -2.112,-2.05 -2.069,-5.044 0.695,-6.536 2.849,-1.537 10.774,-2.028 9.626,3.426 -0.612,2.904 -4.519,5.618 -7.434,4.246 -0.372,-0.174 -0.685,-0.389 -0.947,-0.633 -0.665,0.125 -1.379,-0.036 -1.94,-0.503 z"
id="path202" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 449.504,367.991 c 0.459,-0.329 1.067,-0.468 1.873,-0.309 0.257,0.051 0.493,0.131 0.711,0.237 0.937,0.219 1.782,0.744 2.377,1.668 1.118,1.733 0.507,3.586 0.005,5.409 -0.472,1.209 -1.835,1.807 -3.044,1.335 -0.049,-0.019 -0.097,-0.04 -0.143,-0.062 -0.622,0.139 -1.337,0.049 -2.137,-0.361 -2.418,-1.242 -5.124,-4.361 -2.165,-6.683 0.713,-0.56 1.597,-0.997 2.523,-1.234 z"
id="path204" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 460.887,383.928 c 3.505,0.279 5.866,5.971 1.895,7.43 -0.798,0.293 -1.833,0.308 -2.896,0.097 -0.212,0.063 -0.435,0.097 -0.668,0.097 -0.841,0 -1.58,-0.443 -1.995,-1.108 -1.795,-1.054 -3.056,-2.75 -2.397,-4.745 0.655,-1.985 2.585,-2.299 4.392,-2.467 0.653,0 1.243,0.266 1.669,0.696 z"
id="path206" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 467.474,406.964 c -1.798,-0.783 -4.577,-1.752 -4.449,-4.19 0.243,-4.596 7.857,-3.291 9.328,-0.216 0.799,1.672 -0.362,3.365 -1.397,4.581 -0.917,0.918 -2.406,0.918 -3.323,0 -0.056,-0.056 -0.11,-0.115 -0.159,-0.175 z"
id="path208" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 471.216,427.057 c -0.902,0.345 -1.961,0.109 -2.624,-0.667 -1.052,-1.672 -2.519,-4.08 -0.899,-5.961 3.011,-3.497 11.708,-1.604 9.869,3.93 -0.874,2.629 -3.395,3.192 -5.823,2.897 -0.185,-0.046 -0.361,-0.114 -0.523,-0.199 z"
id="path210" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 475.942,438.485 c 1.04,0.598 1.771,1.552 1.635,3.044 -0.365,3.995 -4.595,6.317 -8.19,4.632 -2.125,-0.996 -2.207,-3.938 -1.071,-5.677 1.332,-2.04 2.826,-3.25 5.14,-3.829 1.185,-0.098 2.236,0.701 2.486,1.83 z"
id="path212" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 471.258,456.124 c 0.386,-0.77 1.183,-1.299 2.104,-1.299 0.102,0.012 0.202,0.024 0.303,0.04 0.752,-0.142 1.498,0.094 2.029,0.584 1.757,0.801 2.979,2.317 2.715,4.613 -0.475,4.132 -7.629,3.931 -8.864,0.393 -0.687,-1.966 0.309,-3.341 1.713,-4.331 z"
id="path214" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 365.05,136.106 -0.139,0.028 c -1.915,0.308 -2.917,-4.254 -0.852,-4.624 l 0.028,-0.004 0.013,-0.002 0.237,-0.046 0.543,-0.107 c 0.244,-0.049 0.487,-0.057 0.721,-0.031 l 0.122,-0.014 c 1.298,0 2.35,1.052 2.35,2.35 0,1.298 -1.052,2.35 -2.35,2.35 l -0.243,0.031 -0.43,0.069 z m -0.934,-4.603 0.138,-0.017 -0.077,0.007 -0.061,0.01 z"
id="path216" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 369.676,143.446 c -0.73,-1.424 -1.183,-3.038 0.349,-4.226 2.433,-1.888 7.486,0.678 7.014,3.865 -0.369,2.494 -3.007,2.667 -5.016,2.848 -1.298,0 -2.351,-1.052 -2.351,-2.35 0,-0.046 0.002,-0.091 0.004,-0.137 z"
id="path218" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 377.559,149.633 c 2.461,0.216 5.758,1.845 3.748,4.84 -2.11,3.146 -5.866,0.999 -6.098,-2.108 0,-0.065 0.002,-0.128 0.007,-0.191 -0.005,-0.062 -0.007,-0.127 -0.007,-0.191 0,-1.298 1.052,-2.35 2.35,-2.35 z"
id="path220" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 379.959,162.946 c 1.656,-2.842 7.349,-4.245 7.766,0.257 0.217,2.345 -1.576,3.53 -3.67,3.906 l -0.78,0.056 -0.127,0.004 c -0.834,0.31 -1.808,0.128 -2.478,-0.543 -0.444,-0.587 -0.645,-1.201 -0.64,-1.772 -0.282,-0.576 -0.328,-1.266 -0.071,-1.908 z"
id="path222" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 383.505,173.306 c 0.408,-0.464 0.965,-0.796 1.716,-0.895 2.871,-0.379 5.553,2.274 4.671,5.22 -0.998,3.337 -5.939,2.471 -7.795,0.519 -0.68,-0.716 -0.877,-1.557 -0.97,-2.495 0,-1.298 1.052,-2.35 2.35,-2.35 0.01,0 0.018,0.001 0.028,0.001 z"
id="path224" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 382.848,189.533 c -0.692,-2.067 -0.586,-4.342 1.826,-5.219 2.908,-1.057 6.147,1.237 6.537,4.197 0.597,4.515 -5.16,4.508 -7.677,2.551 -0.426,-0.425 -0.655,-0.972 -0.686,-1.529 z"
id="path226" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 385.826,251.827 c 0.448,-0.282 0.994,-0.415 1.56,-0.34 2.588,0.689 4.562,2.821 3.173,5.606 -1.853,3.717 -9.312,3.173 -9.144,-1.467 0.081,-2.231 2.086,-3.249 3.969,-3.759 0.149,-0.028 0.296,-0.04 0.442,-0.04 z"
id="path228" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 386.265,263.315 c 0.335,-0.124 0.705,-0.173 1.085,-0.128 2.768,0.504 5.201,2.744 2.977,5.488 -1.55,1.913 -5.537,3.367 -7.431,1.148 -1.53,-1.793 -0.309,-3.859 0.604,-5.606 0.615,-0.922 1.768,-1.269 2.765,-0.902 z"
id="path230" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 397.797,276.344 c 0.283,0.115 0.55,0.287 0.779,0.517 1.776,2.071 2.07,4.986 -0.942,6.03 -2.414,0.836 -6.668,-0.274 -6.38,-3.45 0.19,-2.092 2.279,-2.926 3.902,-3.74 0.953,-0.378 2.005,-0.089 2.641,0.643 z"
id="path232" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 406.747,287.629 c 1.08,1.17 2.031,2.637 0.739,4.121 -1.914,2.197 -6.854,0.34 -7.576,-2.23 -0.801,-2.85 2.146,-3.659 4.282,-4.053 1.292,-0.117 2.435,0.836 2.552,2.129 10e-4,0.011 0.002,0.022 0.003,0.033 z"
id="path234" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 412.436,296.028 c 0.519,-0.573 1.31,-0.88 2.129,-0.744 0.249,0.042 0.48,0.121 0.693,0.231 1.15,0.091 2.087,1.018 2.157,2.203 0.073,1.233 -0.819,2.301 -2.022,2.467 -0.938,1.183 -2.313,1.838 -3.894,0.742 -1.431,-0.991 -1.405,-3.103 -0.147,-4.23 0.334,-0.299 0.698,-0.511 1.084,-0.669 z"
id="path236" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 424.19,304.681 c 0.203,0.103 0.399,0.224 0.582,0.359 0.918,0.917 0.918,2.406 0,3.323 -0.127,0.128 -0.266,0.239 -0.413,0.33 l -0.242,0.261 c -2.285,2.125 -7.717,-1.007 -5.61,-4.009 0.935,-1.333 2.532,-1.156 3.947,-1.031 0.688,0 1.306,0.296 1.736,0.767 z"
id="path238" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 425.898,314.312 c 0.185,-0.588 0.599,-1.104 1.194,-1.401 0.692,-0.345 1.472,-0.317 2.113,0.008 1.012,0.178 1.825,1.009 1.931,2.082 0.084,0.84 -0.287,1.622 -0.913,2.101 -0.393,0.75 -1.151,1.242 -2.365,1.144 -1.934,-0.158 -2.884,-2.345 -1.96,-3.934 z"
id="path240" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 435.675,320.542 c 0.082,-0.038 0.168,-0.072 0.255,-0.102 1.232,-0.41 2.562,0.256 2.972,1.488 0.528,2.539 -0.813,5.337 -3.869,4.182 -2.886,-1.091 -2.514,-4.572 0.198,-5.502 0.149,-0.037 0.297,-0.058 0.444,-0.066 z"
id="path242" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 445.007,334.777 -0.167,0.037 c -0.586,0.573 -1.399,0.778 -2.147,0.614 -1.271,0.116 -2.358,-0.497 -2.781,-1.725 -0.563,-1.638 1.098,-2.364 2.597,-2.923 l -0.176,-0.027 -0.231,0.013 -0.037,0.004 0.215,-0.061 0.377,-0.097 0.336,-0.011 c 0.375,-0.139 0.721,-0.272 0.995,-0.412 1.267,-0.282 2.522,0.517 2.804,1.784 0.281,1.267 -0.518,2.522 -1.785,2.804 z"
id="path244" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 450.342,340.548 c 1.425,-0.225 3.788,0.036 3.482,2.21 -0.224,1.591 -1.118,2.111 -2.484,2.468 -1.231,0.41 -2.562,-0.256 -2.972,-1.488 -0.302,-0.906 -0.021,-1.868 0.64,-2.475 0.341,-0.366 0.802,-0.626 1.334,-0.715 z"
id="path246" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 460.356,355.597 -0.009,0.25 c 0,1.298 -1.052,2.35 -2.35,2.35 -1.298,0 -2.35,-1.052 -2.35,-2.35 0,-0.002 0,-0.003 0,-0.005 -0.085,-0.243 -0.13,-0.502 -0.13,-0.774 0,-0.511 0.162,-0.984 0.438,-1.369 0.364,-1.387 1.147,-2.565 2.921,-2.084 2.102,0.571 2.299,2.497 1.48,3.982 z"
id="path248" />
<path
style="fill:#363a40;stroke:#363a40;stroke-width:0.500094"
d="m 253.064,212.441 c -5.171,4.318 -10.465,7.777 -10.015,15.252 0.375,6.204 7.982,11.066 13.918,10.177 7.031,-1.054 13.803,-6.459 17.537,-12.357 1.513,-2.39 3.1,-5.964 1.417,-8.698 -1.686,-2.738 -5.801,-6.44 -9.071,-7.093 -4.592,-0.918 -9.509,1.309 -13.786,2.719 z"
id="path250" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 257.655,218.342 c 0.316,-0.529 0.892,-0.885 1.553,-0.885 4.255,-0.088 8.971,-0.311 9.321,4.987 0.35,5.292 -4.997,8.682 -9.95,7.972 -3.979,-0.57 -6.853,-4.746 -5.027,-8.579 0.897,-1.881 2.39,-2.854 4.103,-3.495 z"
id="path252" />
<path
style="fill:#676f79;stroke:#676f79;stroke-width:0.500094"
d="m 261.148,221.058 c -1.818,0.434 -5.856,1.65 -4.226,4.412 1.493,2.531 5.837,1.327 7.435,-0.466 0.595,-0.668 1.069,-2.976 0.261,-3.602 -0.684,-0.529 -1.77,-0.596 -2.852,-0.539 -0.187,0.099 -0.395,0.167 -0.618,0.195 z"
id="path254" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 339.682,197.571 c 1.215,0.322 2.419,0.677 3.6,1.078 l 0.447,0.078 c 0.22,0.081 0.427,0.183 0.62,0.299 5.77,2.13 10.813,5.503 13.201,11.816 3.645,9.638 -1.143,20.015 -10.924,23.128 -9.795,3.118 -21.6,-0.139 -29.443,-6.387 -7.77,-6.189 -6.575,-17.605 1.339,-23.199 5.546,-3.921 11.631,-6.957 18.577,-6.822 l 0.935,0.165 c 0.514,-0.173 1.075,-0.235 1.648,-0.156 z"
id="path256" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 367.648,208.65 c -0.828,-1.835 -1.187,-4.404 1.262,-5.25 3.401,-1.173 8.014,1.285 8.553,4.948 0.465,3.162 -3.471,4.728 -5.774,2.88 l -0.593,-0.542 -0.14,-0.154 c -0.578,-0.05 -1.127,-0.313 -1.528,-0.75 -0.713,-0.072 -1.383,-0.47 -1.78,-1.132 z"
id="path258" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 390.23,199.555 c 1.369,0.808 2.247,2.179 1.193,3.934 -1.386,2.308 -4.828,3.856 -6.935,1.592 -2.861,-3.076 0.102,-6.767 3.509,-7.144 1.042,0 1.925,0.679 2.233,1.618 z"
id="path260" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 389.354,226.668 c 0.194,0.04 0.387,0.105 0.573,0.198 1.94,1.137 3.546,3.258 1.817,5.377 -1.657,2.033 -5.761,2.287 -7.14,-0.19 -1.091,-1.96 0.14,-3.683 1.202,-5.303 0.828,-1 2.309,-1.14 3.309,-0.312 0.086,0.072 0.165,0.149 0.239,0.23 z"
id="path262" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 387.935,238.324 c 1.766,0.591 2.974,2.125 2.714,4.332 -0.485,4.124 -6.678,2.461 -7.65,-0.568 -0.778,-2.424 0.721,-4.151 2.891,-4.897 0.88,-0.176 1.738,0.32 2.045,1.133 z"
id="path264" />
<path
style="fill:#363a40;stroke:#363a40;stroke-width:0.500094"
d="m 341.837,205.679 c -0.206,-0.037 -0.411,-0.093 -0.613,-0.168 -8.084,-1.745 -19.919,1.53 -22.156,10.598 -0.913,3.702 3.72,7.021 6.318,8.344 5.656,2.881 12.88,4.524 19.114,2.605 6.725,-2.07 9.018,-9.937 5.181,-15.739 -1.977,-2.989 -4.74,-4.555 -7.844,-5.64 z"
id="path266" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 365.999,225.171 c -0.748,-0.677 -1.119,-1.572 -0.487,-2.786 1.09,-2.093 4.368,-1.388 6.141,-1.099 1.565,0.255 3.25,1.189 2.963,3.028 -0.34,2.178 -3.015,2.23 -5.019,2.25 -0.292,0.063 -0.6,0.072 -0.907,0.016 -0.189,0.008 -0.364,0.018 -0.521,0.035 -0.977,0 -1.816,-0.595 -2.17,-1.444 z"
id="path268" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 385.323,213.679 c 0.285,-0.221 0.619,-0.373 0.974,-0.444 0.344,-0.127 0.702,-0.23 1.064,-0.312 1.161,-0.11 2.203,0.643 2.493,1.734 1.519,1.098 2.718,2.616 1.919,4.525 -1.616,3.856 -8.376,2.319 -8.613,-1.73 -0.107,-1.816 0.829,-3.026 2.163,-3.773 z"
id="path270" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 335.279,211.744 c 0.345,-0.328 0.818,-0.52 1.331,-0.496 0.344,0.029 0.689,0.064 1.037,0.104 0.809,-0.098 1.623,-0.169 2.427,-0.233 0.776,0 1.437,0.49 1.694,1.177 1.986,0.819 3.491,2.272 3.72,4.956 0.668,7.847 -9.275,8.891 -14.504,5.611 -2.58,-1.618 -3.987,-5 -1.947,-7.541 1.66,-2.067 3.868,-3.051 6.242,-3.578 z"
id="path272" />
<path
style="fill:#676f79;stroke:#676f79;stroke-width:0.500094"
d="m 337.752,214.937 c -2.207,0.259 -4.337,0.822 -5.907,2.663 -0.566,0.664 0.195,1.399 0.705,1.857 2.565,2.304 11.124,2.53 9.15,-2.767 -0.524,-1.406 -2.405,-1.657 -3.948,-1.753 z"
id="path274" />
</g>
<g
id="g276" />
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="860.25195"
height="160.24791"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="oid.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="TriangleOutL"
style="overflow:visible">
<path
id="path3939"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3942"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.4"
inkscape:cx="420.14108"
inkscape:cy="-125.21966"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
showguides="true"
inkscape:guide-bbox="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid2985"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="-2.3588888mm"
originy="-241.636mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-8.3582682,-35.923687)">
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="19.119011"
y="130.45163"
id="text2987"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan2989"
x="19.119011"
y="130.45163"
style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-family:Courier New;-inkscape-font-specification:Courier New"><tspan
style="fill:#000000;fill-opacity:0.29411765"
id="tspan3775">iso.3.6.1.4.1.37968.1.1.8</tspan>.2.<tspan
style="fill:#000000;fill-opacity:0.29411765"
id="tspan3759">2.1.2</tspan>.1</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 653.74016,139.9606 0,44.29135 -49.6063,0"
id="path3791"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="584.64569"
y="195.71848"
id="text4421"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4423"
x="584.64569"
y="195.71848"
style="font-size:32px;text-align:end;text-anchor:end">slot number</tspan></text>
<path
inkscape:connector-curvature="0"
id="path4425"
d="m 845.07874,95.669257 0,-44.291339 -242.71654,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
sodipodi:nodetypes="ccc" />
<text
sodipodi:linespacing="125%"
id="text4427"
y="60.236187"
x="585.91522"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="60.236187"
x="585.91522"
id="tspan4429"
sodipodi:role="line"
style="font-size:32px;text-align:end;text-anchor:end">register index</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect2997"
width="859.25195"
height="44.291336"
x="-11.358268"
y="65.823677"
transform="translate(20.216536,29.845562)" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="285.03781"
height="121.53081"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="pulse-def.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path4066"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="3.959798"
inkscape:cx="174.98166"
inkscape:cy="64.607223"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0" />
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-19.324123,-143.35556)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 88.582677,237.40155 35.433073,0 17.71653,-88.58267 53.14961,0 17.71654,88.58267 91.26351,0"
id="path2987"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccccc" />
<g
id="g3877">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path2989"
d="m 125.7874,255.11809 0,-26.57481"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path3761"
d="m 122.24409,228.54328 7.08661,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text3765"
y="230.31494"
x="108.07087"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px"
y="230.31494"
x="108.07087"
id="tspan3767"
sodipodi:role="line">10%</tspan></text>
</g>
<g
id="g3871">
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 139.96063,255.11809 0,-97.74012"
id="path3759"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 136.41732,157.67714 7.08661,0"
id="path3763"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="122.24409"
y="159.44879"
id="text3769"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3771"
x="122.24409"
y="159.44879"
style="font-size:6px">90%</tspan></text>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3897"
d="m 210.82677,255.11809 0,-26.57481"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path3899"
d="m 207.28346,228.54328 7.08661,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text3901"
y="230.31493"
x="216.14172"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px"
y="230.31493"
x="216.14172"
id="tspan3903"
sodipodi:role="line">10%</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4373"
y="248.03146"
x="129.3307"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px"
y="248.03146"
x="129.3307"
id="tspan4375"
sodipodi:role="line">t<tspan
id="tspan4377"
style="font-size:8px;baseline-shift:sub">r</tspan></tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="200.19685"
y="248.03146"
id="text4379"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4381"
x="200.19685"
y="248.03146"
style="font-size:8px">t<tspan
id="tspan4385"
style="font-size:65.00091553%;baseline-shift:sub">f</tspan></tspan></text>
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 196.65354,263.97636 1e-5,-106.29922"
id="path3907"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 193.11024,157.67714 7.08661,0"
id="path3909"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="178.937"
y="159.44879"
id="text3911"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3913"
x="178.937"
y="159.44879"
style="font-size:6px">90%</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3915"
d="m 116.92913,246.25982 7.08662,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 148.8189,246.25982 -7.08662,0"
id="path4361"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g4363">
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 125.7874,263.97636 0,-35.43308"
id="path4365"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 122.24409,228.54328 7.08661,0"
id="path4367"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="108.07087"
y="230.31494"
id="text4369"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4371"
x="108.07087"
y="230.31494"
style="font-size:6px">10%</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 187.79527,246.25982 7.08662,0"
id="path4393"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4395"
d="m 219.68504,246.25982 -7.08662,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="161.22047"
y="262.20471"
id="text4407"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4409"
x="161.22047"
y="262.20471"
style="font-size:8px;text-align:center;text-anchor:middle">t<tspan
style="font-size:65.00091553%;text-align:center;text-anchor:middle;baseline-shift:sub"
id="tspan4413">p</tspan></tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 155.90551,260.43305 -28.34645,0"
id="path4415"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4417"
d="m 166.53543,260.43305 27.31746,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 65.815116,148.81888 70.866144,0"
id="path4431"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 65.815116,237.40155 17.71654,0"
id="path4433"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
x="21.549837"
y="238.97673"
id="text4435"><tspan
sodipodi:role="line"
id="tspan4437"
x="21.549837"
y="238.97673">V<tspan
style="font-size:65.00091553%;baseline-shift:sub"
id="tspan3395">IL</tspan>, V<tspan
style="font-size:65.00091553%;baseline-shift:sub"
id="tspan3392">OL</tspan></tspan></text>
<text
id="text3397"
y="152.10361"
x="19.230373"
xml:space="preserve"><tspan
y="152.10361"
x="19.230373"
id="tspan3399"
sodipodi:role="line">V<tspan
id="tspan3401"
style="font-size:65.00091553%;baseline-shift:sub">IH</tspan>, V<tspan
id="tspan3403"
style="font-size:65.00091553%;baseline-shift:sub">OH</tspan></tspan></text>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="661.07678"
height="251.03151"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="pulse-rep.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path4441"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="Arrow1Mend"
orient="auto"
refY="0"
refX="0"
id="Arrow1Mend"
style="overflow:visible">
<path
id="path4305"
d="M 0,0 5,-5 -12.5,0 5,5 0,0 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="matrix(-0.4,0,0,-0.4,-4,0)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="5.6568542"
inkscape:cx="436.60481"
inkscape:cy="163.86669"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
showguides="true"
inkscape:guide-bbox="true">
<inkscape:grid
type="xygrid"
id="grid3765"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="2.5000008mm"
originy="-192.07667mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(8.8582716,-120.74412)">
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 411.02362,212.59845 86.81102,0"
id="path3193"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 492.51967,166.27828 9.62957,0 0,23.0315"
id="path4013"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<rect
style="fill:#e5e5e5;fill-opacity:1;stroke:none"
id="rect5381"
width="660.82678"
height="88.58271"
x="-8.8582716"
y="281.69293" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 345.47244,313.58272 38.97638,-2e-5 0,-95.66929 7.08661,0"
id="path4378"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
inkscape:connector-curvature="0"
id="path3908"
d="m 17.716534,290.55121 17.716536,0"
style="fill:none;stroke:#808080;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" />
<path
inkscape:connector-curvature="0"
id="path3910"
d="m 44.291337,299.40948 8.858268,0 0,-8.85827 8.858268,0 0,8.85827 17.716535,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccccc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="442.91339"
y="343.70084"
id="text5383"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5385"
x="442.91339"
y="343.70084"
style="font-weight:bold;text-align:center;text-anchor:middle">RS-485</tspan><tspan
sodipodi:role="line"
x="442.91339"
y="356.20084"
id="tspan5387"
style="font-weight:bold;text-align:center;text-anchor:middle">side</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect2987"
width="7.0866141"
height="17.716536"
x="58.464577"
y="210.82678" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 97.440944,193.11031 -62.00787,-5e-5"
id="path3767"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 62.007874,193.11026 0,17.71653"
id="path3769"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 62.007874,228.54333 0,14.17323"
id="path3771"
inkscape:connector-curvature="0" />
<g
transform="translate(77.952759,72.637879)"
id="g3783">
<path
inkscape:connector-curvature="0"
id="path3785"
d="m 141.73228,113.3858 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path3787"
style="fill:none;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 327.7559,308.26774 -315.354326,0"
id="path3872"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 370.27558,187.79535 0,-24.80316"
id="path5139"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g3940"
transform="translate(79.724405,-63.779427)">
<path
inkscape:connector-curvature="0"
id="path3795"
d="m 283.46457,248.03147 0,46.063 14.17323,-7.08662 0,-31.88976 -14.17323,-7.08662"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text3890"
y="287.00784"
x="287.00787"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="287.00784"
x="287.00787"
id="tspan3892"
sodipodi:role="line">1</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="287.00787"
y="262.20471"
id="text3894"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3896"
x="287.00787"
y="262.20471"
style="font-size:10px">0</tspan></text>
</g>
<path
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 17.716535,168.30716 17.716535,0"
id="path3900"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 44.291337,168.30716 8.858268,0 0,-8.85822 8.858268,0 0,8.85822 17.716535,0"
id="path3902"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccccc" />
<path
inkscape:connector-curvature="0"
id="path3904"
d="m 44.291337,177.16543 8.858268,0 0,8.85822 8.858268,0 0,-8.85822 17.716535,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccccc" />
<path
inkscape:connector-curvature="0"
id="path3906"
d="m 17.716535,186.02365 17.716535,0"
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" />
<path
inkscape:connector-curvature="0"
id="path3963"
d="m 506.69291,205.51187 90.35435,-5e-5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 203.74016,193.11029 15.94488,0"
id="path4051"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 212.59843,193.1103 0,28.34646 150.59055,2e-5"
id="path5191"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 240.94488,193.11029 122.2441,2e-5"
id="path4053"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 118.70079,193.1103 85.03937,0"
id="path4057"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 391.53543,207.28354 -14.17324,0"
id="path4059"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 387.99212,203.74018 c 5.31496,7.08662 5.31496,10.62993 0,17.71654 12.40158,0 19.48819,0 24.80315,-8.85827 -5.31496,-8.85827 -12.40157,-8.85827 -24.80315,-8.85827 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<g
id="g5291"
transform="translate(-5.3149705,87.047313)">
<rect
y="118.46458"
x="315.35434"
height="30.118111"
width="40.748032"
id="rect4171"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text4181"
y="130.11482"
x="335.3042"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4201"
style="font-size:10px;text-align:center;text-anchor:middle"
y="130.11482"
x="335.3042"
sodipodi:role="line">No sig.</tspan><tspan
style="font-size:10px;text-align:center;text-anchor:middle"
y="142.61482"
x="335.3042"
sodipodi:role="line"
id="tspan5930">detect</tspan></text>
</g>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
x="17.716534"
y="150.59062"
id="text4203"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="17.716534"
y="150.59062"
id="tspan4207"
style="font-style:normal;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1">No sig.</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4209"
y="150.59062"
x="65.551178"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="150.59062"
x="65.551178"
sodipodi:role="line"
id="tspan4217">Signal</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="203.74016"
y="139.96066"
id="text4284"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4286"
x="203.74016"
y="139.96066"
style="font-size:14px;font-weight:bold">FPGA</tspan></text>
<path
transform="translate(102.61519,209.82679)"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5193"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="359.61176"
y="159.44887"
id="text5244"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5246"
x="359.61176"
y="159.44887">ttlsel</tspan></text>
<g
transform="translate(327.75591,-49.606257)"
id="g5327">
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 283.46457,248.03147 0,46.063 14.17323,-7.08662 0,-31.88976 -14.17323,-7.08662"
id="path5329"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="287.00787"
y="287.00784"
id="text5331"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5333"
x="287.00787"
y="287.00784"
style="font-size:10px">1</tspan></text>
<text
sodipodi:linespacing="125%"
id="text5335"
y="262.20471"
x="287.00787"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="262.20471"
x="287.00787"
id="tspan5337"
sodipodi:role="line">0</tspan></text>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5349"
d="m 522.63779,205.51183 88.58269,1e-5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path5353"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
transform="translate(412.65456,222.22833)" />
<path
inkscape:connector-curvature="0"
id="path5355"
d="m 618.34101,202.11098 0,-26.71726"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path5357"
d="m 522.6378,205.51183 0,28.34646 88.58268,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="ccc" />
<text
sodipodi:linespacing="125%"
id="text5361"
y="171.8504"
x="607.67712"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="171.8504"
x="607.67712"
id="tspan5363"
sodipodi:role="line">ttlsel</tspan></text>
<g
id="g5367"
transform="translate(-63.779526,85.039369)">
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 602.36221,141.73229 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
id="path5323"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5325"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
transform="matrix(0.24999996,0,0,0.24999996,581.98819,119.58663)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 522.6378,233.85828 0,109.84252 129.33071,3e-5"
id="path5371"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 625.39372,223.22836 26.57479,0"
id="path5373"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="translate(412.65456,250.57478)"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5375"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 58.464574,242.71656 7.08661,0"
id="path3773"
inkscape:connector-curvature="0" />
<g
id="g4425"
transform="translate(0,72.637843)">
<g
id="g4433">
<g
id="g3761"
transform="matrix(0.99999584,0,0,0.99999584,-44.290747,5.9828542e-4)"
style="stroke-width:0.50000209;stroke-miterlimit:4;stroke-dasharray:none">
<path
inkscape:connector-curvature="0"
id="path3757"
d="m 141.73228,113.3858 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
style="fill:none;stroke:#000000;stroke-width:0.50000209;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path3759"
style="fill:none;stroke:#000000;stroke-width:2.00000858;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
</g>
<g
id="g3611"
transform="matrix(0.34119974,0,0,0.34119974,95.47928,47.329489)"
style="stroke-width:1.46541727;stroke-miterlimit:4;stroke-dasharray:none">
<path
transform="translate(-15.675442,33.433089)"
inkscape:connector-curvature="0"
id="path3607"
d="m 29.848669,186.25198 10.629922,0 3.543307,-10.62992 7.086614,0"
style="fill:none;stroke:#000000;stroke-width:1.46541727;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:1.46541727;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 35.43307,209.05515 -10.629922,0 -3.543307,10.62992 -7.086614,0"
id="path3609"
inkscape:connector-curvature="0" />
</g>
</g>
</g>
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3126"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
transform="translate(-47.975365,209.82679)" />
<text
sodipodi:linespacing="125%"
id="text3929"
y="325.98428"
x="19.488188"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1"
id="tspan3933"
y="325.98428"
x="19.488188"
sodipodi:role="line">No sig.</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="62.007874"
y="325.98428"
id="text3935"
sodipodi:linespacing="125%"><tspan
id="tspan3941"
sodipodi:role="line"
x="62.007874"
y="325.98428"
style="font-style:normal;text-align:center;text-anchor:middle">Signal</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:3;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:10.2"
id="rect9974"
width="442.91339"
height="248.03151"
x="196.79427"
y="88.811035"
transform="translate(-1.9123726,33.433089)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 469.48818,212.34127 0,-17.71653 28.34645,0"
id="path3195"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3197"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
transform="translate(359.50494,229.05777)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="469.48822"
y="169.82158"
id="text3217"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3219"
x="469.48822"
y="169.82158">gfen</tspan></text>
<g
transform="translate(35.317056,24.449158)"
id="g4020">
<g
id="g4028"
transform="translate(35.433084,35.433068)">
<rect
y="127.6559"
x="404.05301"
height="14.173236"
width="17.716549"
id="rect4022"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text4024"
y="138.28583"
x="405.82468"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold"
y="138.28583"
x="405.82468"
id="tspan4026"
sodipodi:role="line">GF</tspan></text>
</g>
</g>
<g
id="g3985"
transform="translate(90.354325,74.152272)">
<path
sodipodi:nodetypes="ccccc"
inkscape:connector-curvature="0"
id="path3176"
d="m 406.47996,109.84255 0,42.51968 10.62993,-5.31497 0,-31.88976 -10.62993,-5.31495"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text3178"
y="141.73232"
x="409.17969"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px"
y="141.73232"
x="409.17969"
id="tspan3180"
sodipodi:role="line">1</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="409.25195"
y="124.01578"
id="text3182"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3184"
x="409.25195"
y="124.01578"
style="font-size:8px">0</tspan></text>
</g>
<path
inkscape:connector-curvature="0"
id="path3166"
d="m 124.01575,159.44889 17.71653,0"
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" />
<path
sodipodi:nodetypes="cccccc"
inkscape:connector-curvature="0"
id="path3168"
d="m 150.59055,159.44894 8.85827,0 0,8.85822 8.85827,0 0,-8.85822 17.71653,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 150.59055,186.02365 8.85827,0 0,-8.85822 8.85827,0 0,8.85822 17.71653,0"
id="path3170"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 124.01575,177.16543 17.71653,0"
id="path3172"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3174"
d="m 265.74803,186.02365 8.85827,0 0,-8.85822 8.85827,0 0,8.85822 17.71653,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccccc" />
<path
inkscape:connector-curvature="0"
id="path3177"
d="m 239.17323,186.02369 17.71653,0"
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 265.74803,212.5985 8.85827,0 0,-8.85822 8.85827,0 0,8.85822 17.71653,0"
id="path3179"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 239.17323,203.74028 17.71653,0"
id="path3181"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3966"
d="m 563.38584,193.11026 8.85827,0 0,-8.85822 8.85827,0 0,8.85822 17.71653,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccccc" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 563.38584,246.25992 8.85827,0 0,8.85822 8.85827,0 0,-8.85822 17.71653,0"
id="path3968"
inkscape:connector-curvature="0" />
<path
transform="matrix(2,0,0,2,169.66705,78.780636)"
d="m 14.379074,57.279011 a 1.7716541,1.8858268 0 1 1 -3.543308,0 1.7716541,1.8858268 0 1 1 3.543308,0 z"
sodipodi:ry="1.8858268"
sodipodi:rx="1.7716541"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5617"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<g
id="g4094"
transform="translate(-1.1455389e-6,-123.7874)">
<rect
y="343.70081"
x="164.76378"
height="17.716536"
width="7.0866146"
id="rect3347"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 168.32384,343.59282 0,-15.83689"
id="path3349"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 168.3042,379.13389 0,-17.66822 0.003,17.66822"
id="path3351"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 161.22047,380.90554 7.08662,8.85827 0,8.85826"
id="path3353"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3355"
d="m 164.76377,398.62207 7.08661,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 168.30709,370.27562 53.1496,0"
id="path3357"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3359"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
transform="translate(58.323849,386.99212)" />
<text
xml:space="preserve"
style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="203.74016"
y="366.78061"
id="text3361"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="203.74016"
y="366.78061"
style="font-size:10px;text-align:start;text-anchor:start"
id="tspan3363">ttlsel</tspan><tspan
sodipodi:role="line"
x="203.74016"
y="379.28061"
style="font-size:10px;text-align:start;text-anchor:start"
id="tspan4380">gfen</tspan></text>
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3979"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1.7716541"
sodipodi:ry="1.8858268"
d="m 14.379074,57.279011 a 1.7716541,1.8858268 0 1 1 -3.543308,0 1.7716541,1.8858268 0 1 1 3.543308,0 z"
transform="matrix(2,0,0,2,169.66705,255.71759)" />
</g>
<path
transform="matrix(2,0,0,2,612.58044,108.67036)"
d="m 14.379074,57.279011 a 1.7716541,1.8858268 0 1 1 -3.543308,0 1.7716541,1.8858268 0 1 1 3.543308,0 z"
sodipodi:ry="1.8858268"
sodipodi:rx="1.7716541"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path4007-7"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path4027"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1.7716541"
sodipodi:ry="1.8858268"
d="m 14.379074,57.279011 a 1.7716541,1.8858268 0 1 1 -3.543308,0 1.7716541,1.8858268 0 1 1 3.543308,0 z"
transform="matrix(2,0,0,2,612.58044,229.14279)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4108"
d="m 283.46457,352.55908 -271.062996,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path4110"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1.7716541"
sodipodi:ry="1.8858268"
d="m 14.379074,57.279011 a 1.7716541,1.8858268 0 1 1 -3.543308,0 1.7716541,1.8858268 0 1 1 3.543308,0 z"
transform="matrix(2,0,0,2,169.66705,193.70972)" />
<path
transform="matrix(2,0,0,2,169.66705,238.00106)"
d="m 14.379074,57.279011 a 1.7716541,1.8858268 0 1 1 -3.543308,0 1.7716541,1.8858268 0 1 1 3.543308,0 z"
sodipodi:ry="1.8858268"
sodipodi:rx="1.7716541"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path4112"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 44.291337,334.84255 8.858268,0 0,8.85827 8.858268,0 0,-8.85827 17.716535,0"
id="path4114"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#808080;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 17.716534,334.84255 17.716536,0"
id="path4116"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text4126"
y="299.40948"
x="150.59055"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-weight:bold;text-align:center;text-anchor:middle"
id="tspan4130"
y="299.40948"
x="150.59055"
sodipodi:role="line">Data</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="150.59055"
y="343.70081"
id="text4134"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="150.59055"
y="343.70081"
id="tspan4136"
style="font-weight:bold;text-align:center;text-anchor:middle">No sig. detect</tspan></text>
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 327.7559,304.72444 c 0,8.85826 0,9.14173 0,18 12.04146,0 18,-3.68503 18,-9 0,-5.31496 -5.95854,-9 -18,-9 z"
id="path3936-1"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 304.72439,347.21263 14.17324,0.0315 0,-28.34646 8.85827,0"
id="path5450"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 265.74802,308.26774 0,33.66142 17.71653,0"
id="path5471"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
transform="translate(155.76479,324.75591)"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path4618"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.56;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<g
id="g4161"
transform="translate(-17.716548,0)">
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 301.1811,338.38585 c 0,8.85826 0,9.14173 0,18 12.04146,0 18,-3.68503 18,-9 0,-5.31496 -5.95854,-9 -18,-9 z"
id="path5452"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5433"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
transform="matrix(0.24999996,0,0,0.24999996,281.20867,317.98037)" />
</g>
<g
id="g4421">
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4384"
width="24.803158"
height="17.716528"
x="421.65353"
y="203.74022" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="434.06244"
y="216.2435"
id="text4386"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="434.06244"
y="216.2435"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan4394">FPI</tspan></text>
</g>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
x="19.488188"
y="278.14966"
id="text4402"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="19.488188"
y="278.14966"
id="tspan4404"
style="font-style:normal;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1">No sig.</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4406"
y="278.14966"
x="62.007874"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="278.14966"
x="62.007874"
sodipodi:role="line"
id="tspan4408">Signal</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="398.62204"
y="246.2599"
id="text4426"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4428"
x="398.62204"
y="246.2599"
style="font-size:10px"><tspan
style="font-size:10px;font-weight:bold"
id="tspan4430">FPI</tspan> = First Pulse Inhibit</tspan><tspan
sodipodi:role="line"
x="398.62204"
y="258.75989"
style="font-size:10px"
id="tspan4432"><tspan
style="font-size:10px;font-weight:bold"
id="tspan4434">GF</tspan> = Glitch Filter</tspan></text>
</g>
</svg>
This source diff could not be displayed because it is too large. You can view the blob instead.
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="750.37915"
height="225.68504"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="rtm-det.svg">
<defs
id="defs4" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="0.98994949"
inkscape:cx="495.30676"
inkscape:cy="-24.352412"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
showguides="true"
inkscape:guide-bbox="true">
<inkscape:grid
type="xygrid"
id="grid2985"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="1mm"
spacingy="1mm"
originx="-36.861518mm"
originy="-198.15334mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-130.61166,-124.55904)">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3831"
d="m 549.2126,127.55904 0,219.68504"
style="fill:none;stroke:#000000;stroke-width:6;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
style="fill:none;stroke:#000000;stroke-width:1.08064508;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect2987"
width="15.316231"
height="38.290577"
x="382.91791"
y="196.25349" />
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 390.57601,234.54408 0,38.29057"
id="path3769"
inkscape:connector-curvature="0" />
<g
style="stroke:#000000;stroke-opacity:1"
id="g4433"
transform="matrix(2.1612903,0,0,2.1612903,256.55899,12.458567)">
<g
style="stroke:#000000;stroke-width:0.50000209;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
transform="matrix(0.99999584,0,0,0.99999584,-44.290747,5.9828542e-4)"
id="g3761">
<path
style="fill:none;stroke:#000000;stroke-width:0.50000209;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 141.73228,113.3858 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
id="path3757"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.00000858;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3759"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)" />
</g>
<g
style="stroke:#000000;stroke-width:1.46541727;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
transform="matrix(0.34119974,0,0,0.34119974,95.47928,47.329489)"
id="g3611">
<path
style="fill:none;stroke:#000000;stroke-width:1.46541727;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 29.848669,186.25198 10.629922,0 3.543307,-10.62992 7.086614,0"
id="path3607"
inkscape:connector-curvature="0"
transform="translate(-15.675442,33.433089)" />
<path
inkscape:connector-curvature="0"
id="path3609"
d="m 35.43307,209.05515 -10.629922,0 -3.543307,10.62992 -7.086614,0"
style="fill:none;stroke:#000000;stroke-width:1.46541727;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
</g>
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3126"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(2.1612903,0,0,2.1612903,152.87031,308.96385)" />
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 390.57601,196.2535 0,-30.63247"
id="path22292"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:2.16129017px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 382.91788,173.27915 7.65813,-7.65812 7.65812,7.65812"
id="path22294"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:2.16129017px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 298.67861,265.17654 7.65813,7.65811 -7.65813,7.65812"
id="path22296"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:2.16129017px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 306.33674,265.17654 7.6581,7.65811 -7.6581,7.65812"
id="path22300"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 313.99486,272.83465 153.16231,0"
id="path22302"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="matrix(2.1612903,0,0,2.1612903,152.87031,308.96385)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path22304"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none"
sodipodi:type="arc" />
<path
sodipodi:nodetypes="ccc"
inkscape:connector-curvature="0"
id="path22306"
d="m 298.67861,265.17654 7.65813,7.65811 -7.65813,7.65812"
style="fill:none;stroke:#000000;stroke-width:2.16129017px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="ccc"
inkscape:connector-curvature="0"
id="path22308"
d="m 306.33674,265.17654 7.6581,7.65811 -7.6581,7.65812"
style="fill:none;stroke:#000000;stroke-width:2.16129017px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path22318"
d="m 513.10585,272.83465 91.89739,0"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:86.45160675px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="375.25974"
y="157.96291"
id="text22320"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan22322"
x="375.25974"
y="157.96291"
style="font-size:21.61290169px;font-weight:bold;fill:#000000;fill-opacity:1;stroke:none">V<tspan
style="font-size:14.04858494px;baseline-shift:sub;fill:#000000;fill-opacity:1;stroke:none"
id="tspan22328">CC</tspan></tspan></text>
<text
sodipodi:linespacing="125%"
id="text22330"
y="280.4928"
x="130.20009"
style="font-size:86.45160675px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:21.61290169px;font-weight:bold;fill:#000000;fill-opacity:1;stroke:none"
y="280.4928"
x="130.20009"
id="tspan22332"
sodipodi:role="line">from RTMM/P</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3082"
width="53.14962"
height="46.062984"
x="605.00323"
y="250.0889" />
<text
xml:space="preserve"
style="font-size:86.45160675px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="615.63318"
y="280.4928"
id="text3088"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3090"
x="615.63318"
y="280.4928"
style="font-size:21.61290169px;font-weight:bold;fill:#000000;fill-opacity:1;stroke:none">SR</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="690.94489"
y="184.25198"
id="text3789"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3791"
x="690.94489"
y="184.25198"
style="font-size:18px">RTMP_2 -- SR.RTM[5]</tspan><tspan
sodipodi:role="line"
x="690.94489"
y="206.75198"
id="tspan3793"
style="font-size:18px">RTMP_1 -- SR.RTM[4]</tspan><tspan
sodipodi:role="line"
x="690.94489"
y="229.25198"
id="tspan3795"
style="font-size:18px">RTMP_0 -- SR.RTM[3]</tspan><tspan
sodipodi:role="line"
x="690.94489"
y="251.75198"
id="tspan3797"
style="font-size:18px">RTMM_3 -- SR.RTM[2]</tspan><tspan
sodipodi:role="line"
x="690.94489"
y="274.25198"
id="tspan3799"
style="font-size:18px">RTMM_2 -- SR.RTM[1]</tspan><tspan
sodipodi:role="line"
x="690.94489"
y="296.75198"
id="tspan3801"
style="font-size:18px">RTMM_1 -- SR.RTM[0]</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="811.2605"
y="217.91141"
id="text3803"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3805"
x="811.2605"
y="217.91141"> </tspan></text>
<path
transform="matrix(2,0,0,2,523.99776,158.27663)"
d="m 16.150728,57.279011 c 0,1.956915 -1.586393,3.543308 -3.543308,3.543308 -1.956915,0 -3.5433085,-1.586393 -3.5433085,-3.543308 0,-1.956915 1.5863935,-3.543308 3.5433085,-3.543308 1.956915,0 3.543308,1.586393 3.543308,3.543308 z"
sodipodi:ry="3.5433078"
sodipodi:rx="3.5433085"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5617"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
xml:space="preserve"
style="font-size:86.45160675px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="563.3858"
y="155.90552"
id="text3833"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3835"
x="563.3858"
y="155.90552"
style="font-size:28px;font-weight:bold;fill:#000000;fill-opacity:1;stroke:none">FPGA</tspan></text>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="162.40128"
height="74.637787"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="sr-switches.svg">
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="5.6"
inkscape:cx="103.67569"
inkscape:cy="28.648827"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1">
<inkscape:grid
type="xygrid"
id="grid3753"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
spacingx="0.5mm"
spacingy="0.5mm"
units="mm"
originx="-41.013229mm"
originy="-224.21778mm" />
</sodipodi:namedview>
<defs
id="defs4">
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="TriangleInM"
style="overflow:visible">
<path
id="path3907"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM5"
orient="auto"
refY="0"
refX="0"
id="TriangleInM5"
style="overflow:visible">
<path
id="path4510"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill:#b2b2b2;fill-rule:evenodd;stroke:#b2b2b2;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM52"
orient="auto"
refY="0"
refX="0"
id="TriangleInM52"
style="overflow:visible">
<path
id="path3851"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill:#000000;fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-145.32248,-183.25196)">
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM52)"
d="m 150.59057,201.96849 -1e-5,28.34646 79.72441,0"
id="path3759"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="256.88977"
y="196.65353"
id="text4221"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4223"
x="256.88977"
y="196.65353"
style="font-size:4px">SW1.1 -- SR.SWITCHES[0]</tspan><tspan
sodipodi:role="line"
x="256.88977"
y="201.65353"
style="font-size:4px"
id="tspan4225">SW1.2 -- SR.SWITCHES[1]</tspan><tspan
sodipodi:role="line"
x="256.88977"
y="206.65353"
style="font-size:4px"
id="tspan4227">SW1.3 -- SR.SWITCHES[2]</tspan><tspan
sodipodi:role="line"
x="256.88977"
y="211.65353"
style="font-size:4px"
id="tspan4229">SW1.4 -- SR.SWITCHES[3]</tspan><tspan
sodipodi:role="line"
x="256.88977"
y="216.65353"
style="font-size:4px"
id="tspan4237">SW2.1 -- SR.SWITCHES[4]</tspan><tspan
sodipodi:role="line"
x="256.88977"
y="221.65353"
style="font-size:4px"
id="tspan4248">SW2.2 -- SR.SWITCHES[5]</tspan><tspan
sodipodi:role="line"
x="256.88977"
y="226.65353"
style="font-size:4px"
id="tspan4250">SW2.3 -- SR.SWITCHES[6]</tspan><tspan
sodipodi:role="line"
x="256.88977"
y="231.65353"
style="font-size:4px"
id="tspan4254">SW2.4 -- SR.SWITCHES[7]</tspan><tspan
sodipodi:role="line"
x="256.88977"
y="236.65353"
style="font-size:4px"
id="tspan3017" /><tspan
sodipodi:role="line"
x="256.88977"
y="241.65353"
style="font-size:4px"
id="tspan3019">SW3.1 -- MWSR[3]</tspan><tspan
sodipodi:role="line"
x="256.88977"
y="246.65353"
style="font-size:4px"
id="tspan3023">SW3.2 -- MWSR[2]</tspan><tspan
sodipodi:role="line"
x="256.88977"
y="251.65353"
style="font-size:4px"
id="tspan3025">SW3.3 -- MWSR[1]</tspan><tspan
sodipodi:role="line"
x="256.88977"
y="256.65353"
style="font-size:4px"
id="tspan3027">SW3.4 -- MWSR[0]</tspan><tspan
sodipodi:role="line"
x="256.88977"
y="261.65353"
style="font-size:4px"
id="tspan3021" /></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 150.59055,230.31494 0,8.85827"
id="path3761"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 147.04724,240.94486 3.54331,7.08662 0,8.85827"
id="path3763"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 147.04724,256.88975 7.08662,0"
id="path3765"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:none"
id="path4211"
sodipodi:cx="150.59055"
sodipodi:cy="237.40155"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 152.3622,237.40155 c 0,0.97846 -0.7932,1.77165 -1.77165,1.77165 -0.97846,0 -1.77166,-0.79319 -1.77166,-1.77165 0,-0.97846 0.7932,-1.77165 1.77166,-1.77165 0.97845,0 1.77165,0.79319 1.77165,1.77165 z"
transform="translate(-2.3622047e-7,-7.0866115)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="145.2756"
y="196.65353"
id="text4213"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4215"
x="145.2756"
y="196.65353"
style="font-size:6px;fill:#000000;fill-opacity:1;stroke:none">V<tspan
style="font-size:65.00091553%;baseline-shift:sub;fill:#000000;fill-opacity:1;stroke:none"
id="tspan4484">cc</tspan></tspan></text>
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3011"
width="3.5433674"
height="10.629906"
x="148.81891"
y="210.82675" />
<g
id="g3893"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
transform="translate(-8.8582706,-1.7716553)">
<path
inkscape:connector-curvature="0"
id="path3757"
d="m 203.74017,224.99999 0,17.71654 15.94488,-10.62993 -15.94488,-10.62992 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="ccccc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path4423"
sodipodi:cx="70.7724"
sodipodi:cy="44.181129"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 72.544053,44.181129 c 0,0.978458 -0.793196,1.771654 -1.771653,1.771654 -0.978457,0 -1.771654,-0.793196 -1.771654,-1.771654 0,-0.978457 0.793197,-1.771653 1.771654,-1.771653 0.978457,0 1.771653,0.793196 1.771653,1.771653 z"
transform="translate(150.68431,187.90547)" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3831"
d="m 172.56987,193.11023 0,63.77952"
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
style="fill:none;stroke:#000000;stroke-width:0.35152325;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3082"
width="18.683327"
height="16.192211"
x="230.31497"
y="222.31931" />
<text
xml:space="preserve"
style="font-size:30.38974953px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="233.79718"
y="233.18098"
id="text3088"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3090"
x="233.79718"
y="233.18098"
style="font-size:7.59743738px;font-weight:bold;fill:#000000;fill-opacity:1;stroke:none">SR</tspan></text>
<text
xml:space="preserve"
style="font-size:30.38974953px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="177.55206"
y="201.96851"
id="text3833"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3835"
x="177.55206"
y="201.96851"
style="font-size:9.84265137px;font-weight:bold;fill:#000000;fill-opacity:1;stroke:none">FPGA</tspan></text>
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path4464"
sodipodi:cx="23.823578"
sodipodi:cy="37.980343"
sodipodi:rx="2.6574802"
sodipodi:ry="2.6574802"
d="m 26.481058,37.980343 c 0,1.467686 -1.189794,2.65748 -2.65748,2.65748 -1.467686,0 -2.65748,-1.189794 -2.65748,-2.65748 0,-1.467686 1.189794,-2.65748 2.65748,-2.65748 1.467686,0 2.65748,1.189794 2.65748,2.65748 z"
transform="translate(148.91265,192.33461)" />
</g>
</svg>
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="328.25592"
height="139.01797"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="ttl-vs-ttlbar.svg">
<defs
id="defs4" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="194.9332"
inkscape:cy="0.025139333"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1" />
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(21.031809,-148.81888)">
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="0.71428573"
y="185.26901"
id="text2991"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan2993"
x="0.71428573"
y="185.26901"
style="font-size:16px;font-weight:bold">TTL</tspan></text>
<text
sodipodi:linespacing="125%"
id="text2995"
y="262.93463"
x="-21.109934"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:16px;font-weight:bold"
y="262.93463"
x="-21.109934"
id="tspan2997"
sodipodi:role="line">TTL-BAR</tspan></text>
<g
id="g22887">
<g
style="fill:none"
transform="matrix(1,0,0,-1,16.172919,263.00268)"
id="g4034">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4036"
d="m 168.30709,53.447583 c -5.31496,5.016962 3.54331,8.560269 0,13.87523"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 171.85039,53.447583 c -5.31496,5.016962 3.54331,8.560269 0,13.87523"
id="path4038"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
sodipodi:nodetypes="ccccccc"
transform="translate(-21.031809,148.31888)"
inkscape:connector-curvature="0"
id="path22860"
d="m 88.582677,54.149607 35.433073,0 0,-35.43307 0,-17.7165359 35.43307,0 0,53.1496059 45.52728,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cccccc"
inkscape:connector-curvature="0"
id="path22862"
d="m 187.4876,202.46849 39.51209,0 0,-53.14961 26.5748,0 0,53.14961 53.14961,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<g
id="g22880">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.550868,234.18724 35.433072,0 0,35.43307 0,17.71654 35.43307,0 0,-53.14961 45.52728,0"
id="path22870"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccccccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 187.4876,234.18724 39.51209,0 0,53.14961 26.5748,0 0,-53.14961 53.14961,0"
id="path22872"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccccc" />
<g
id="g22874"
transform="matrix(1,0,0,-1,16.172919,294.19114)"
style="fill:none">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 168.30709,53.447583 c -5.31496,5.016962 3.54331,8.560269 0,13.87523"
id="path22876"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path22878"
d="m 171.85039,53.447583 c -5.31496,5.016962 3.54331,8.560269 0,13.87523"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
</g>
</g>
</svg>
\subsection{MultiBoot controller}
\label{app:multiboot-regs}
Base address: 0x100
{
\rowcolors{2}{white}{gray!25}
\begin{longtable}{l l l p{.5\textwidth}}
\hline
\textbf{Offset} & \textbf{Reset} & \textbf{Name}
& \textbf{Description} \\
\hline
\endfirsthead
\hline
\hline
\endhead
\hline
\endfoot
0x0 & 0x00000000 & CR & Control Register\\
0x4 & 0x00000000 & SR & Status Register\\
0x8 & 0x00000000 & GBBAR & Golden Bitstream Base Address Register\\
0xc & 0x00000000 & MBBAR & MultiBoot Bitstream Base Address Register\\
0x10 & 0x10000000 & FAR & Flash Access Register\\
\end{longtable}
}
\vspace{11pt}
\subsubsection{CR -- Control Register}
\label{app:multiboot-regs-cr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}IPROG} & \multicolumn{1}{|c|}{\cellcolor{gray!25}IPROG\_UNLOCK}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{1}{|c}{-} & \multicolumn{1}{|c|}{\cellcolor{gray!25}RDCFGREG} & \multicolumn{6}{|c|}{\cellcolor{gray!25}CFGREGADR[5:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CFGREGADR
} [\emph{read/write}]: Configuration register address
\\
Address of FPGA configuration register to read.
\end{small}
\item \begin{small}
{\bf
RDCFGREG
} [\emph{write-only}]: Read FPGA configuration register
\\
1 -- Start FPGA configuration register sequence. \\ 0 -- No effect.
\end{small}
\item \begin{small}
{\bf
IPROG\_UNLOCK
} [\emph{read/write}]: Unlock bit for the IPROG command
\\
1 -- Unlock IPROG bit. \\ 0 -- No effect.
\end{small}
\item \begin{small}
{\bf
IPROG
} [\emph{read/write}]: Start IPROG sequence
\\
1 -- Start IPROG configuration sequence \\ 0 -- No effect \\ This bit needs to be unlocked by writing the IPROG\_UNLOCK bit first. \\ A write to this bit with IPROG\_UNLOCK cleared has no effect.
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{SR -- Status Register}
\label{app:multiboot-regs-sr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}WDTO} & \multicolumn{1}{|c|}{\cellcolor{gray!25}IMGVALID}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CFGREGIMG[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CFGREGIMG[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CFGREGIMG
} [\emph{read-only}]: Configuration register image
\\
Image of the FPGA configuration register at address CFGREGADR (see Configuration Registers section in Xilinx UG380~\cite{ug380}); validated by IMGVALID bit
\end{small}
\item \begin{small}
{\bf
IMGVALID
} [\emph{read-only}]: Configuration register image valid
\\
1 -- CFGREGIMG valid \\ 0 -- CFGREGIMG not valid;
\end{small}
\item \begin{small}
{\bf
WDTO
} [\emph{read/write}]: MultiBoot FSM stalled at one point and was reset by FSM watchdog
\\
1 -- FSM watchdog fired \\ 0 -- FSM watchdog has not fired
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{GBBAR -- Golden Bitstream Base Address Register}
\label{app:multiboot-regs-gbbar}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
BITS
} [\emph{read/write}]: Bits of GBBAR register
\\
31..24 -- Read or fast-read OPCODE of the flash chip (obtain it from the flash chip datasheet) \\ 23..0 -- Golden bitstream address in flash
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{MBBAR -- MultiBoot Bitstream Base Address Register}
\label{app:multiboot-regs-mbbar}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
BITS
} [\emph{read/write}]: Bits of MBBAR register
\\
31..24 -- Read or fast-read OPCODE of the flash chip (obtain it from the flash chip datasheet) \\ 23..0 -- MultiBoot bitstream start address in flash
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{FAR -- Flash Access Register}
\label{app:multiboot-regs-far}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}READY} & \multicolumn{1}{|c|}{\cellcolor{gray!25}CS} & \multicolumn{1}{|c|}{\cellcolor{gray!25}XFER} & \multicolumn{2}{|c|}{\cellcolor{gray!25}NBYTES[1:0]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}DATA[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}DATA[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}DATA[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
DATA
} [\emph{read/write}]: Flash data field
\\
23..16 -- DATA[2]; after an SPI transfer, this register contains the value of data byte 2 read from the flash \\ 15..8 -- DATA[1]; after an SPI transfer, this register contains the value of data byte 1 read from the flash \\ 7..0 -- DATA[0]; after an SPI transfer, this register contains the value of data byte 0 read from the flash
\end{small}
\item \begin{small}
{\bf
NBYTES
} [\emph{read/write}]: Number of DATA fields to send and receive in one transfer:
\\
0x0 -- Send 1 byte (DATA[0]) \\ 0x1 -- Send 2 bytes (DATA[0], DATA[1]) \\ 0x2 -- Send 3 bytes (DATA[0], DATA[1], DATA[2])
\end{small}
\item \begin{small}
{\bf
XFER
} [\emph{write-only}]: Start transfer to and from flash
\\
1 -- Start transfer \\ 0 -- Idle
\end{small}
\item \begin{small}
{\bf
CS
} [\emph{read/write}]: Chip select bit
\\
1 - Flash chip selected (CS pin low) \\ 0 - Flash chip not selected (CS pin is high)
\end{small}
\item \begin{small}
{\bf
READY
} [\emph{read-only}]: Flash access ready
\\
1 - Flash access completed \\ 0 - Flash access in progress
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
@misc{ohwr,
title = {{Open Hardware Repository}},
howpublished = {\url{http://www.ohwr.org/}}
}
@misc{conv-ttl-rs485-ohwr,
title = {{CONV-TTL-RS485 Project Page on OHWR}},
howpublished = {\url{http://www.ohwr.org/projects/conv-ttl-rs485}}
}
@misc{conv-ttl-rs485-gw-releases,
title = {{CONV-TTL-RS485 Gateware Releases Page on OHWR}},
howpublished = {\url{http://www.ohwr.org/projects/conv-ttl-rs485-gw/wiki/Releases}}
}
@misc{conv-ttl-rs485-hdlg,
title = {{CONV-TTL-RS485 HDL Guide on OHWR}},
howpublished = {\url{http://www.ohwr.org/documents/353}}
}
@misc{conv-ttl-rs485-sch,
title = {{CONV-TTL-RS485 on CERN EDMS}}},
howpublished = {\url{https://edms.cern.ch/nav/P:EDA-02541:V0/I:EDA-02541-V1-0:V0/TAB4}}
}
@misc{sysmon-i2c,
author = "{ELMA}",
title = {{Access to board data using SNMP and I2C}},
howpublished = {\url{http://www.ohwr.org/attachments/download/2324/ELMA_SNMP_specification.pdf}}
}
@article{rs485-fs,
title = {Detection of {RS}-485 signal loss},
url = {http://www.tij.co.jp/jp/lit/an/slyt257/slyt257.pdf},
number = {4Q},
urldate = {2014-08-06},
journal = {Texas instruments Appl. Note Literature},
author = {Gingerich, Kevin},
year = {2006},
file = {Detection of RS-485 signal loss - slyt257.pdf:/home/tstana/.mozilla/firefox/ao4zesfb.default/zotero/storage/4SHSRX25/slyt257.pdf:application/pdf}
}
@misc{rtm-det,
title = {{RTM detection}},
howpublished = {\url{http://www.ohwr.org/projects/conv-ttl-blo/wiki/RTM_board_detection}}
}
@misc{m25p32,
title = {{M25P32 32Mb 3V NOR Serial Flash Embedded Memory}},
howpublished = {\url{http://cern.ch/go/vSq8}}
}
@misc{ug380,
title = {{UG380 - Spartan-6 Configuration Guide}},
author = {Xilinx},
month = jan,
year = {2013},
note = {v2.5},
howpublished = {\url{http://www.xilinx.com/support/documentation/user_guides/ug380.pdf}}
}
%==============================================================================
% Document header
%==============================================================================
\documentclass[a4paper,11pt]{article}
% Color package
\usepackage[usenames,dvipsnames,table]{xcolor}
% Hyperrefs
\usepackage[
colorlinks = true,
linkcolor = Mahogany,
citecolor = Mahogany,
urlcolor = blue,
]{hyperref}
% Longtable
\usepackage{longtable}
% Graphics, multirow
\usepackage{graphicx}
\usepackage{multirow}
% Appendix package
\usepackage[toc,page]{appendix}
\usepackage{fancyhdr}
\setlength{\headheight}{15.2pt}
\pagestyle{fancy}
\fancyhead[L]{\nouppercase{\leftmark}}
\fancyhead[R]{}
\renewcommand{\footrulewidth}{0.4pt}
% Row number command
\newcounter{rownr}
\newcommand{\rownumber}{\stepcounter{rownr}\arabic{rownr}}
%==============================================================================
% Start of document
%==============================================================================
\begin{document}
%------------------------------------------------------------------------------
% Title
%------------------------------------------------------------------------------
\include{cern-title}
%------------------------------------------------------------------------------
% Revision history
%------------------------------------------------------------------------------
\thispagestyle{empty}
\section*{Revision history}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l c p{.6\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Date}} & \multicolumn{1}{c}{\textbf{Version}} & \multicolumn{1}{c}{\textbf{Change}} \\
\hline
08-08-2014 & 0.1 & First draft, gateware release v0.0 \\
\hline
\end{tabular}
}
\pagebreak
\pagenumbering{roman}
\setcounter{page}{1}
\tableofcontents
%------------------------------------------------------------------------------
% List of figs, tables
%------------------------------------------------------------------------------
\listoffigures
\listoftables
%------------------------------------------------------------------------------
% List of abbreviations
%------------------------------------------------------------------------------
\pagebreak
\section*{List of Abbreviations}
\begin{tabular}{l l}
FPGA & Field-Programmable Gate Array \\
FM & Front Module (VME board) \\
I$^2$C & Inter-Integrated Circuit \\
MIB & Management Information Base \\
OID & Object identifier (in the sense of SNMP) \\
PG & Pulse Generator \\
RTM & Rear Transition Module (VME board) \\
SFP & Small Form-factor Pluggable (connector) \\
SNMP & Simple Network Management Protocol \\
TAI & International Atomic Time (French abbreviation) \\
VME & VERSAmodule Eurocard \\
WR & White Rabbit \\
\end{tabular}
\pagebreak
\pagenumbering{arabic}
\setcounter{page}{1}
%==============================================================================
% SEC: Intro
%==============================================================================
\section{Introduction}
\label{sec:intro}
CONV-TTL-RS485 is an open hardware design \cite{ohwr} intended for replicating TTL and RS-485
pulses, or for timing distribution. The main features of the board are:
\begin{itemize}
\item VME64x form-factor
\item Possibility to use as timing repeater card
\item Six independent pulse replication channels, each channel capable of replicating
\begin{itemize}
\item TTL to TTL
\item TTL to RS-485
\item TTL-BAR to TTL-BAR
\item TTL-BAR to RS-485
\item RS-485 to TTL
\item RS-485 to TTL-BAR
\item RS-485 to RS-485
\end{itemize}
\item Four general-purpose inverter channels
\begin{itemize}
\item TTL to TTL-BAR
\item TTL-BAR to TTL
\end{itemize}
\item Each TTL and inverter channel has 50~$\Omega$ input termination
\item Each TTL and inverter channel capable of driving 50~$\Omega$ load
\item Each RS-485 channel capable of driving up to 256 nodes
\item Each RS-485 channel contains lack of signal detection logic
\item SFP connector
\item Diagnostics
\begin{itemize}
\item converter board ID
\item gateware version
\item state of on-board switches and RTM detection lines
\item line state at board input
\item remotely reset the FPGA logic
\end{itemize}
\item Remote reprogramming over I$^2$C lines on VME P1 connector
\item Status LEDs
\item Pulse LEDs for each replication channel
\end{itemize}
Pulse conversion is obtained by combining the CONV-TTL-RS485 front module (FM) board
with a CONV-TTL-RTM rear-transition module (RTM) together with an attached
CONV-TTL-RTM-RS485 piggyback board, as shown in Figure~\ref{fig:conv-sys-bd}.
In this case, a pulse on a channel's input on any side (TTL on the FM side or RS-485 on the
RTM side) is replicated on both the FM and the RTM outputs for the channel.
This document presents various details about the CONV-TTL-RS485 board. It is based
on gateware release v0.0 (see the gateware releases page~\cite{conv-ttl-rs485-gw-releases}).
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/conv-sys-bd}}
\caption{\label{fig:conv-sys-bd} Converter system block diagram}
\end{figure}
%------------------------------------------------------------------------------
\subsection*{Additional documentation}
%------------------------------------------------------------------------------
\begin{itemize}
\item CONV-TTL-RS485 OHWR Wiki page~\cite{conv-ttl-rs485-ohwr}
\item CONV-TTL-RS485 schematics~\cite{conv-ttl-rs485-sch}
\item CONV-TTL-RS485 HDL Guide~\cite{conv-ttl-rs485-hdlg}
\end{itemize}
%======================================================================================
% SEC: Panels
%======================================================================================
\pagebreak
\section{Front and rear panels}
\label{sec:front-rear-panel}
Two panels exist in the context of the RS-485 pulse repeater boards. The first of these is the
\textit{front panel}, which corresponds to FM boards, contains various connectors
for TTL-level pulses and the SFP connector, as well as various status LEDs. The second is
the \textit{rear panel}, located on the other side of the VME backplane and corresponding
to the RTM boards. The rear panel offers RS-485 LEMO0 connectors and status
LEDs for pulse replication confirmation.
%--------------------------------------------------------------------------------------
% SUBSEC: Front panel
%--------------------------------------------------------------------------------------
\subsection{Front panel}
The front panel corresponding to CONV-TTL-RS485 FM boards is shown in Figure~\ref{fig:fp}.
It consists of several ports and status LEDs, which are detailed in the following
subsections.
\begin{figure}
\centerline{\includegraphics[scale=.75]{fig/front-panel}}
\caption{Front panel}
\label{fig:fp}
\end{figure}
%--------------------------------------------------------------------------------------
\subsubsection{System status LEDs}
There are twelve bicolor status LEDs on the CONV-TTL-RS485 front panel. The implemented
status LEDs are presented in Table \ref{tbl:status-leds}. Unimplemented system status
LEDs are \textit{off}.
\begin{table}[h]
\caption{System status LEDs on the CONV-TTL-RS485 front panel}
\label{tbl:status-leds}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l p{.75\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{LED}} & \multicolumn{1}{c}{\textbf{Description}} \\
\hline
\textit{PW} & Power LED \newline
-- \textbf{Green} when a valid CONV-TTL-RS485 gateware is loaded
to the FPGA \\
\textit{ERR} & Error LED \newline
-- \textbf{Red} when a system error occured (see Section~\ref{sec:diag-syserr}) \newline
-- \textbf{Off} otherwise \\
\textit{TTL} & TTL status LED \newline
-- \textbf{Green} when TTL logic is selected via the on-board selection switch \newline
-- \textbf{Off} when TTL-BAR logic is selected. \\
\textit{I2C} & I$^2$C status LED \newline
-- \textbf{Flashes green} when an I$^2$C transfer takes places \newline
-- \textbf{Off} otherwise \\
\textit{MULTICAST} & Multicast switch status LEDs \newline
-- \textbf{Green} when the corresponding multicast switch is ON \newline
-- \textbf{Off} otherwise \\
\hline
\end{tabular}
}
\end{table}
%--------------------------------------------------------------------------------------
\subsubsection{TTL inputs and outputs}
Six of the LEMO 00 connectors on the FM board are TTL repeater channels. Both
front-panel inputs and outputs are TTL-level. The signal type and the inputs and
outputs can be either TTL or TTL-BAR, as selected by the TTL switch (SW2.4, see
Section~\ref{sec:pulse-ttl-vs-ttlbar}).
A simplified diagram of pulse repetition is shown in Figure~\ref{fig:ttl-chan},
more details can be found in Section~\ref{sec:pulse-rep}. If a TTL (TTL-BAR) pulse arrives
on a channel input, it is regenerated on the output of the same channel in TTL (TTL-BAR),
as well as the RS-485 outputs of the same channel on the rear panel, if an RTM
board with an attached RS-485 piggyback is present. Similarly, if an RS-485 pulse arrives
on the rear panel, it is replicated on the TTL output channel.
Each TTL replication channel has a pulse LED which flashes shortly whenever a pulse is replicated
on the channel.
All TTL input channels are terminated with 50$\Omega$ resistors; TTL output channels are
not terminated.
\begin{figure}[h]
\centerline{\includegraphics[width=.8\textwidth]{fig/ttl-chan}}
\caption{Pulse repetition on front panel}
\label{fig:ttl-chan}
\end{figure}
%--------------------------------------------------------------------------------------
\subsubsection{General-purpose inverters}
Four general-purpose TTL inverter channels can be found in the lower part of the front panel.
The output of a channel is always an inverted version of the channel input (Figure~\ref{fig:invttl-chan}).
No regeneration is performed on the input signal, nor is it in any way connected to the RS-485 outputs
on the RTM. The input signal is simply passed through an inverter and the FPGA, and presented at the
channel output.
All general-purpose inputs are terminated with 50$\Omega$ resistors; the outputs are not
terminated.
\begin{figure}[h]
\centerline{\includegraphics[width=.6\textwidth]{fig/invttl-chan}}
\caption{TTL general-purpose inverter channel}
\label{fig:invttl-chan}
\end{figure}
%--------------------------------------------------------------------------------------
% SUBSEC: Rear panel
%--------------------------------------------------------------------------------------
\subsection{Rear panel}
The rear panel on CONV-TTL-RTM-RS485 boards is shown in Figure~\ref{fig:rear-panel}. It contains the
input and output connectors, as well as pulse status LEDs for the six RS-485 pulse channels. An RS-485
pulse at the input connector of a channel is passed through the FPGA and regenerated at the three outputs
of the same channel in RS-485 level, as well as in TTL level at the output connector of the corresponding
TTL channel on the front panel.
Each RS-485 output on a channel has its dedicated transceiver capable of driving 256 nodes.
When a pulse is repeated on the output connector of a channel, the pulse status LED flashes briefly.
\begin{figure}[htbp]
\centerline{\includegraphics[scale=.75]{fig/rear-panel}}
\caption{Rear panel}
\label{fig:rear-panel}
\end{figure}
%======================================================================================
% SEC: Switches
%======================================================================================
\pagebreak
\section{On-board switches}
\label{sec:switches}
There are twelve switches provided on-board the CONV-TTL-RS485. Eight of these switches
are general-purpose, and four of them are dedicated multicast switches, for selecting the
board's multicast address.
Figure~\ref{fig:switches} shows the switches. Table~\ref{tbl:switches} lists the used
general-purpose switches.
Note that both switches in Table~\ref{tbl:switches} are board-wide switches; selecting
one position or the other yields a selection valid for all six pulse replication channels.
\begin{figure}[h]
\centerline{\includegraphics[width=.55\textwidth]{fig/switches}}
\caption{Switches on the CONV-TTL-RS485 board}
\label{fig:switches}
\end{figure}
\begin{table}[htbp]
\caption{General-purpose switches on CONV-TTL-RS485}
\label{tbl:switches}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l p{.85\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Switch}} & \multicolumn{1}{c}{\textbf{Description}} \\
\hline
SW1.1 & Glitch filter enable (see Section~\ref{sec:pulse-rep}) \newline
\textbf{ON} -- glitch filter enabled, output jitter present \newline
\textbf{OFF} -- glitch filter disabled, no output jitter \textbf{(default)} \\
SW2.4 & TTL/TTL-BAR selection switch (see Section~\ref{sec:pulse-ttl-vs-ttlbar}) \newline
\textbf{ON} -- TTL channels receive and generate TTL \textbf{(default)} \newline
\textbf{OFF} -- TTL channels receive and generate TTL-BAR \\
\hline
\end{tabular}
}
\end{table}
The multicast switches shown in Figure~\ref{fig:switches} can be used to select a
multicast address for the board. At the moment, no particular functionality is defined
in this respect, but setting a switch to ON also lights the corresponding front-panel
multicast bicolor LED.
The status of all on-board switches can be read from status registers; for more information
see Section~\ref{sec:diag-sw-rtmdet}.
%======================================================================================
% SEC: Pulse replication
%======================================================================================
\pagebreak
\section{Pulse replication}
\label{sec:pulse}
%--------------------------------------------------------------------------------------
% SUBSEC: Pulse signal def
%--------------------------------------------------------------------------------------
\subsection{Pulse signal definition}
\label{sec:pulse-def}
There are three pulse types that can be generated by the CONV-TTL-RS485 card:
\begin{itemize}
\item TTL/TTL-BAR -- 3~V across 50~$\Omega$ load (see also Section~\ref{sec:pulse-ttl-vs-ttlbar})
\item RS-485 -- approx. 8.6~V differential with no load; exact amplitude under load has
not been measured
\end{itemize}
No pulse width, nor maximum frequency is defined for the pulse, the card simply passes
through the pulses it receives at the input (see Section~\ref{sec:pulse-rep}).
%--------------------------------------------------------------------------------------
% SUBSEC: TTL vs TTL-BAR
%--------------------------------------------------------------------------------------
\subsection{TTL vs. TTL-BAR}
\label{sec:pulse-ttl-vs-ttlbar}
The two signal types that may be replicated on the front panel are TTL or TTL-BAR. As
Figure~\ref{fig:pulse-ttl-vs-ttlbar} shows, TTL-BAR is an inverted version of TTL.
Selection between these two signal types is done by means of the TTL selection switch,
SW2.4 (Figure~\ref{fig:switches-ttl}). The TTL selection switch is valid board-wide,
i.e., if it is set for TTL inputs (\textbf{ON}), TTL signals should be input on all TTL channels.
\begin{figure}[hbtp]
\centerline{\includegraphics[width=.6\textwidth]{fig/ttl-vs-ttlbar}}
\caption{TTL and TTL-BAR signals}
\label{fig:pulse-ttl-vs-ttlbar}
\end{figure}
\begin{figure}[hbtp]
\centerline{\includegraphics[width=.9\textwidth]{fig/switches-ttl}}
\caption{TTL/TTL-BAR selection switch}
\label{fig:switches-ttl}
\end{figure}
%--------------------------------------------------------------------------------------
% SUBSEC: Rep details
%--------------------------------------------------------------------------------------
\pagebreak
\subsection{Pulse replication mechanism}
\label{sec:pulse-rep}
Figure~\ref{fig:pulse-rep} shows a diagram of how pulses are replicated on a channel inside
the FPGA. The figure also shows the shape of the different types of pulse signals after they
pass through a part of the circuit. The grey DC signals are the signals when no wire is
plugged into a channel. Each channel has a dedicated logic block as that in Figure~\ref{fig:pulse-rep}.
\begin{figure}[b]
\centerline{\includegraphics[width=1.1\textwidth]{fig/pulse-rep}}
\caption{Pulse repetition mechanism}
\label{fig:pulse-rep}
\end{figure}
Signals from either TTL or RS-485 side are passed directly to the channel's TTL and RS-485 outputs.
The \textit{ttlsel} signal in Figure~\ref{fig:pulse-rep} is the signal coming from the TTL switch
and it is used to select between whether the signals at the inputs and outputs should be inverted in
order to generate TTL-BAR pulses.
For TTL-BAR and RS-485 pulses, signal loss detection logic is needed, since the idle state with no signal
in such cases is logic high, which would lead to a masking of the other input at the OR gate and subsequently
a pulse. On the TTL-BAR side, this is done inside the \textit{no signal detect} block by means of checking
whether the line is high for 100~${\mu}s$ and disabling the TTL line if it is. The \textit{no signal detect}
block resets when a signal is detected at the input, thus enabling the line.
On the RS-485 side, it is implemented by means of a NAND gate which implements the signal loss detection
mechanism described in ~\cite{rs485-fs}. Together with the data line and no signal detection transceivers
on board the CONV-TTL-RS485, the NAND gate disables the line when both transceivers are in failsafe mode.
At reset or board power-up, during the time that the \textit{no signal detect} block is waiting
the 100~${\mu}s$ until disabling the line with no signal on it, a pulse will be generated
due to the high state of the line. For this reason, the \textit{first pulse inhibit} block has
been implemented, which keeps the line disabled for an extra 100~${\mu}s$ after reset. Note
that the block is only active for 100~${\mu}s$ after reset, no pulses will be rejected in the
occasion of the cable being removed. \textbf{It is for this reason that a pulse will be generated
whenever the cable is removed when the board is in TTL-BAR repetition mode.}
A glitch filter may be optionally selected by means of the glitch filter switch. The glitch
filter is enabled by the \textit{glitch filter} general-purpose switch~\ref{fig:switches-gf}.
The glitch filter ignores signals with a width shorter than 50~ns, but also introduces
a 50~ns jitter on the leading edge, due to the fact it is sampling the line with a 20~MHz clock.
\begin{figure}[htbp]
\centerline{\includegraphics[width=.9\textwidth]{fig/switches-gf}}
\caption{Glitch filter enable switch}
\label{fig:switches-gf}
\end{figure}
%======================================================================================
% SEC: Communicating to the CONV-TTL-RS485
%======================================================================================
\pagebreak
\section{Communicating with the CONV-TTL-RS485}
\label{sec:comm}
It is possible to communicate to the CONV-TTL-RS485 remotely via the VME P1 I$^2$C interface.
This section describes how to connect to the VME64x crate and communicate to the board.
In order to connect to a CONV-TTL-RS485 board in an ELMA VME crate, a higher-level
protocol based on I$^2$C is defined \cite{sysmon-i2c}. The protocol uses the serial
lines on the VME P1 connector (\textit{SERCLK}, \textit{SERDAT}). By this protocol,
2$^{12}$ (12 address bits) 32-bit registers can be read from or written to byte by byte.
A complete memory map for accessible registers can be found in Appendix~\ref{app:memmap}.
The user can access the CONV-TTL-RS485 registers via either Telnet or SNMP. First, a connection
is made to the VME crate using either of these two protocols. Then, based on the protocol, commands
are sent via Telnet, or SNMP-specific object identifiers (OID) in the case of SNMP. Both
of these means of connecting to the CONV-TTL-RS485 are described in the following subsections.
All the examples below were tried on a Ubuntu Linux computer.
\begin{figure}[h]
\centerline{\includegraphics[width=.8\textwidth]{fig/comm}}
\caption{Communicating to the CONV-TTL-RS485 FPGA}
\label{fig:comm}
\end{figure}
%%--------------------------------------------------------------------------------------
%% SUBSEC: Telnet
%%--------------------------------------------------------------------------------------
\subsection{Telnet}
\label{sec:comm-telnet}
The first method to access registers on the CONV-TTL-RS485 via the I$^2$C interface is via
a command-line based interface. This can be accessed via Telnet, through which commands
can be sent. Three Telnet commands (see Table~\ref{tbl:cmds}) can be used to transfer
data to the board. As names suggest, \textit{readreg} reads a board register, whereas
\textit{writereg} and \textit{writemregs} write to a board register.
\begin{table}[h]
\caption{Telnet commands to read and write registers}
\label{tbl:cmds}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l p{.6\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Command}} & \multicolumn{1}{c}{\textbf{Description}} \\
\hline
writereg \textit{slot addr val} & Writes the \textit{hex} value \textit{val} to hex address
\textit{addr} of board in slot number \textit{slot}. \\
writemregs \textit{slot addr v1 .. v8} & Allows writing up to eight different values
to the same register. The values are given in hexadecimal
format and are separated by spaces. \\
readreg \textit{slot addr} & Returns the value of register at hex address \textit{addr} of
board in slot number \textit{slot}. \\
\hline
\end{tabular}
}
\end{table}
An example of retrieving the CONV-TTL-RS485 ID of a CONV-TTL-RS485 plugged into VME slot 2 of the crate
\textit{some-crate} is given below. The converter board ID can be retrieved from the board ID
register at address \textbf{0x000} (BIDR -- see Appendix~\ref{app:conv-regs-bidr}),
if the board is present in slot 2, the command should yield the ASCII string \textbf{T485}.
\begin{verbatim}
tstana@tstana-unit:~$ telnet some-crate
Trying 137.138.192.90...
Connected to some-crate.cern.ch.
Escape character is '^]'.
login:user
password:**********
%>readreg 2 0
Read Data: 54343835
%>
\end{verbatim}
First, a Telnet connection is made with the crate, after which the \textit{readreg} command is issued
to read the value of address 0. The value of the register can be confirmed to be
the hex value of the ASCII string \textbf{T485}, so the board is indeed present in the slot.
Another example of running the same command, this time with the board removed from the crate, is given
below. As expected, when the board is removed, it can no longer acknowledge the I$^2$C access, thus the
message:
\begin{verbatim}
Connected to some-crate.cern.ch.
Escape character is '^]'.
login:user
password:**********
%>readreg 2 0
Not Acknoledged!
%>
\end{verbatim}
An example of writing the value \textbf{0xabcde} to a register at address \textbf{0x1c4} of
a board in VME slot 11 and then reading it back to check for correct write is given below.
Note that this example does not normally yield a similar result if performed on the
CONV-TTL-RS485, since even if a register is implemented at address \textbf{0x1c4},
it may not be writable.
\begin{verbatim}
Connected to some-crate.cern.ch.
Escape character is '^]'.
login:user
password:**********
%>writereg 11 1c4 abcde
Done!
%>readreg 11 1c4
Read Data: 000ABCDE
\end{verbatim}
%------------------------------------------------------------------------------
% SUBSEC: SNMP
%------------------------------------------------------------------------------
\subsection{SNMP}
\label{sec:comm-snmp}
The second method to access the CONV-TTL-RS485 board is via the Simple Network
Management Protocol (SNMP). ELMA crates offer a Management Information Base (MIB)
which provide access to various information such as voltage and current sensor
readout, fan speeds and information about VME slots. Among the information about
the VME slots one can access register values for both read and write.
In order to access the registers, SNMP \textit{Get} and \textit{Set} requests
should be sent to a specific OID. The OID, apart from the ELMA enterprise
identifier and other OIDs to parse the MIB object tree, the VME slot number and
register indexes that translate to specific register addresses on the board.
An example of retrieving the CONV-TTL-RS485 board ID from a card placed into the same
\textit{some-crate} in slot 2 is given below.
Note that in this and other examples the backslash is not needed, it is just added
here for formatting reasons and to keep to the rules of the Linux command-line.
\begin{verbatim}
%> snmpget -v2c -c public some-crate \
iso.3.6.1.4.1.37968.1.1.8.2.2.1.2.1
iso.3.6.1.4.1.37968.1.1.8.2.2.1.2.1 = STRING: "54343538"
\end{verbatim}
Here, the \textit{snmpget} command is used with a version 2c SNMP protocol (the
\textit{-v} parameter) and using the public community string (the \textit{-c} parameter).
The OID (the last parameter of the \textit{snmpget} command) contains multiple
values that inform the MIB compiler how to parse the MIB object tree. Of these
values, only two are relevant to the user. These values are presented in
Figure~\ref{fig:oid}.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/oid}}
\caption{Relevant figures in the SNMP OID}
\label{fig:oid}
\end{figure}
To obtain register index values from register addresses as specified in
Appendix~\ref{app:memmap}, the following formula should be used:
\begin{center}
$reg. index = \frac{addr}{4} + 1$
\end{center}
To perform a write to a register via SNMP, the admin password of the crate
should be known.
An example of writing the value \textbf{0xabcde} to a register
at address \textbf{0x1c4} of a board in VME slot 11 and then reading it back to
check for correct write is given below. Note that this example does not normally
yield a similar result if performed on the CONV-TTL-RS485, since even if a register
is implemented at address \textbf{0x1c4}, it may not be writable.
\begin{verbatim}
%> snmpset -v2c -c admin-password some-crate \
iso.3.6.1.4.1.37968.1.1.8.11.2.1.2.114 s abcde
iso.3.6.1.4.1.37968.1.1.8.2.2.1.2.114 = STRING: "abcde"
%> snmpget -v2c -c public some-crate \
iso.3.6.1.4.1.37968.1.1.8.11.2.1.2.114
iso.3.6.1.4.1.37968.1.1.8.2.2.1.2.114 = STRING: "000ABCDE"
\end{verbatim}
%%--------------------------------------------------------------------------------------
%% SUBSEC: Comm timeout
%%--------------------------------------------------------------------------------------
\subsection{Communication timeout}
\label{sec:comm-timeout}
The CONV-TTL-RS485 FPGA implements an I$^2$C slave that decodes the protocol
to access the registers. The I$^2$C slave also implements a watchdog timer that
resets the slave logic if the communication takes too long to complete.
Currently, the timeout is set to 24~ms. Should the timeout occur, a system error bit is set
in the board status register (SR -- see Appendix~\ref{app:conv-regs-sr}) and the
\textit{ERR} bicolor LED is lit red.
%==============================================================================
% SEC: Diagonstics
%==============================================================================
\pagebreak
\section{Diagnostics}
\label{sec:diag}
This section details the remote diagnostics features implemented via the logic
inside the FPGA on-board the CONV-TTL-RS485. Diagnostics data about a CONV-TTL-RS485
can be obtained via the I$^2$C interface detailed in Section~\ref{sec:comm}.
The following diagnostics features are implemented on the CONV-TTL-RS485:
\begin{itemize}
\item converter board identification
\item reading of the FPGA gateware version
\item reading the state of the lines at board input
\item reading the state of the on-board switches
\item reading the state of the RTM detection lines
\item remotely reset the FPGA logic
\end{itemize}
%------------------------------------------------------------------------------
% SUBSEC: Board ID
%------------------------------------------------------------------------------
\subsection{Converter board identification}
\label{sec:diag-bid}
All converter boards have a board identification register (BIDR -- see
Appendix~\ref{app:conv-regs-bidr}) at address \textbf{0x000}. This register is
a read-only 32-bit register containing the hex values for the ASCII code
describing the functionality of the converter board.
In the case of the CONV-TTL-RS485, the BIDR contains the ASCII string \textbf{T485},
for TTL-to-RS485 converter.
%------------------------------------------------------------------------------
% SUBSEC: FPGA gateware version
%------------------------------------------------------------------------------
\subsection{FPGA gateware version}
\label{sec:diag-gwvers}
The gateware version can be read from the least significant eight bits of the
status register (SR -- see Appendix~\ref{app:conv-regs-sr}). The gateware version
is split into major and minor version numbers. Both numbers are decimal numbers.
The major version number increments on major changes in the gateware, such as
the implementation of new blocks. The minor version increments on bug fixes.
%------------------------------------------------------------------------------
% SUBSEC: Line state
%------------------------------------------------------------------------------
\subsection{Line state}
\label{sec:diag-line-state}
The line state can be read from the Line Status Register (LSR -- see Appendix~\ref{app:conv-regs-lsr}).
Each bit corresponding to an input line state reflects the state at the board
input, prior to inputting the signal to the Schmitt trigger.
In addition, the state of the no signal detect logic for RS-485 circuitry is
also reflected in the LSR.
\begin{figure}
\centerline{\includegraphics[width=.8\textwidth]{fig/line-stat}}
\caption{\label{fig:line-stat} Line state mapping in the LSR}
\end{figure}
%------------------------------------------------------------------------------
% SUBSEC: RTM lines and on-board switches
%------------------------------------------------------------------------------
\subsection{On-board switches and RTM lines}
\label{sec:diag-sw-rtmdet}
The state of the on-board switches and that of the RTM detection lines can
also be read from the SR (see Appendix~\ref{app:conv-regs-sr}).
An active-high logic has been selected for both the switches and the RTM
detection lines~\cite{rtm-det}, therefore if a switch is on, or an RTM line is active,
its corresponding bit in the SR is set high.
\begin{figure}[h]
\centerline{\includegraphics[width=.7\textwidth]{fig/rtm-det}}
\caption{\label{fig:rtm-det} RTM detection lines to status register}
%\end{figure}
%
%\begin{figure}[h]
\centerline{\includegraphics[width=.7\textwidth]{fig/sr-switches}}
\caption{\label{fig:sr-switches} Switch mapping to the SR}
\end{figure}
%------------------------------------------------------------------------------
% SUBSEC: Remote reset
%------------------------------------------------------------------------------
\subsection{Remote reset}
\label{sec:diag-remote-reset}
The user can remotely reset the FPGA logic inside the CONV-TTL-RS485 by writing to
the board's control register at address \textbf{0x008} (see Appendix~\ref{app:conv-regs-cr})
to first unlock the RST bit and then write it high to initiate the reset. When the
reset is initiated, a 100~ms reset pulse is applied to the logic.
An example of resetting a card in slot 11 using the Telnet commands is given below.
\begin{verbatim}
%>writereg 11 8 1
Done!
%>readreg 11 8 2
Not acknoledged!
\end{verbatim}
A remote reset will also reset the I$^2$C interface logic, hence the
\textit{Not acknowledged} response above. After the reset period of 100~ms, during
which all FPGA logic is reset, the user will be able to communicate to the
CONV-TTL-RS485 board again.
Using SNMP, the commands outlined below should be issued to reset the card. Note that
the reply in the case of \textit{snmpset} is not as in the case of the Telnet
commands.
\begin{verbatim}
%> snmpset -v2c -c admin-password some-crate \
iso.3.6.1.4.1.37968.1.1.8.2.2.1.2.3 s 1
iso.3.6.1.4.1.37968.1.1.8.2.2.1.2.3 = STRING: "1"
%> snmpset -v2c -c admin-password some-crate \
iso.3.6.1.4.1.37968.1.1.8.2.2.1.2.3 s 2
iso.3.6.1.4.1.37968.1.1.8.2.2.1.2.3 = STRING: "2"
\end{verbatim}
Note that the backslash in the command above is not needed, it is just added
here for formatting reasons and to keep to the rules of the Linux command-line.
%------------------------------------------------------------------------------
% SUBSEC: System Errors
%------------------------------------------------------------------------------
\subsection{System errors}
\label{sec:diag-syserr}
%Various system errors are defined on converter boards. When such an error occurs,
%the ERR LED on the front panel lights up in \textit{red}. At this point, the user
%should connect to the converter board to see exactly what caused the system error.
%
%The system errors defined for the CONV-TTL-RS485, together with the relevant bits
%set when the error occurs, are shown in Table~\ref{tbl:syserr}.
\begin{table}[h]
\caption{System errors on the CONV-TTL-RS485}
\label{tbl:syserr}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l l p{.5\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Error}} & \multicolumn{1}{c}{\textbf{Register}} &
\multicolumn{1}{c}{\textbf{Description}} \\
\hline
I$^2$C timeout & SR.I2C\_WDTO & An I$^2$C transfer is not completed
within 24~ms (see Section~\ref{sec:comm-timeout}) \\
I$^2$C error & SR.I2C\_ERR & Attempted to access a non-memory-mapped address via I$^2$C \\
\hline
\end{tabular}
}
\end{table}
%==============================================================================
% SEC: Remote reprog
%==============================================================================
\pagebreak
\section{Remote reprogramming support}
\label{sec:reprog}
CONV-TTL-RS485 boards offer the capability of being reprogrammed from a remote PC. The user
sends the new FPGA bitstream via the I$^2$C interface to the CONV-TTL-RS485. The
on-board FPGA implements a remote reprogramming (also called MultiBoot) module, which
handles writing the bitstream to the on-board flash chip. After the bitstream has been
sent to the FPGA, the user issues an instruction (called the IPROG instruction) to the
FPGA. This instructs the FPGA to delete its configuration logic and reconfigure
itself with the new bitstream from the flash chip. All these steps are performed
by the user by writing to memory-mapped registers on the CONV-TTL-RS485.
%%--------------------------------------------------------------------------------------
%% SUBSEC: MultiBoot basics
%%--------------------------------------------------------------------------------------
%\subsection{MultiBoot basics}
%\label{sec:reprog-multiboot}
%
%MultiBoot~\cite{ug380} works by uploading multiple bitstreams into the external flash
%chip on-board the CONV-TTL-BLO. The concept is shown in Figure~\ref{fig:multiboot}.
%
%\begin{figure}[h]
% \centerline{\includegraphics[scale=.4]{fig/multiboot}}
% \label{fig:multiboot}
% \caption{MultiBoot concept}
%\end{figure}
%
%When the board is powered-up, the CONV-TTL-BLO FPGA attempts to load itself from the
%attached flash chip, starting from address 0. This is where a small bitstream called the
%Header bitstream is located. The Header bitstream instructs the FPGA to configure itself
%from the MultiBoot bitstream. If this MultiBoot bitstream fails three times, the logic
%reverts to a Golden bitstream, which is known to be safe in case an error occurs while
%sending the MultiBoot bitstream. If for some reason, the Golden bitstream is corrupted,
%it is attempted three times, prior to falling back to the Header bitstream. While on
%the Header bitstream, the FPGA configuration logic attempts to load the MultiBoot and
%Golden bitstreams three more times, prior to halting configuration.
%
%A strike count internal to the FPGA configuration logic is used to implement this behavior.
%Bitstreams are selected based on this strike count as follows:
%
%\begin{itemize}
% \item if it is 0..2, the MultiBoot bitstream gets loaded
% \item if it is 3..5, the Golden bitstream gets loaded
% \item if it is 6..8, the Header bitstream gets loaded, and MultiBoot and Golden
% bitstreams are attempted three more times
% \item if it is 9, configuration is halted
%\end{itemize}
%
%Note that the strike count can only be reset by power-cycling the CONV-TTL-BLO. Once
%a MultiBoot load has failed three times, the Golden bitstream will get loaded. This
%will happen even if a new and correct MultiBoot bitstream is uploaded to the flash,
%and the IPROG command is issued.
%--------------------------------------------------------------------------------------
% SUBSEC: Workflow
%--------------------------------------------------------------------------------------
\subsection{Workflow}
\label{sec:reprog-workflow}
The workflow for remote reprogramming is shown in Table~\ref{tbl:reprog-workflow}.
\setcounter{rownr}{0}
\begin{table}[h]
\caption{MultiBoot workflow}
\label{tbl:reprog-workflow}
\centerline {
\rowcolors{2}{white}{gray!25}
\begin{tabular}{c p{.7\textwidth}}
\hline
\textbf{Step} & \multicolumn{1}{c}{\textbf{Action}} \\
\hline
\rownumber & Prepare a Xilinx FPGA bitstream \\
\rownumber & Send the bitstream to the flash by writing to the FAR register \\
\rownumber & Write the MultiBoot bitstream start address and flash chip read command
op-code into the MBBAR register \\
\rownumber & Write the Golden bitstream start address and flash chip read command
op-code into the GBBAR register \\
\rownumber & Unlock the IPROG bit in the FPGA by setting CR.IPROG\_UNLOCK \\
\rownumber & Issue a reprogramming command to the FPGA by setting CR.IPROG \\
\rownumber & Check that reprogramming succeeded by checking that the GWVERS field
in the SR corresponds to the gateware version of the written bitstream \\
\hline
\end{tabular}
}
\end{table}
%--------------------------------------------------------------------------------------
% SUBSEC: Bitstreams
%--------------------------------------------------------------------------------------
\subsection{FPGA bitstreams on the CONV-TTL-RS485}
\label{sec:reprog-bitstreams}
The FPGA on-board the CONV-TTL-RS485 is configured by bitstreams downloaded from the
on-board flash chip. There are two bitstreams relevant to the user.
The first is the application bitstream, which is the bitstream that runs when the
card is powered up. It is also the bitstream that the user loads loads to the
CONV-TTL-RS485 flash when using remote reprogramming.
The second bitstream is the Golden bitstream, which is a bitstream known to be safe in
case something goes wrong when writing the application bitstream to the flash. This
bitstream contains the basic logic for pulse replication according to
section~\ref{sec:pulse-def}, as well as remote reprogramming. This means that the cards
can still be used for basic pulse repetition, as well as to load a new application
bitstream.
Note however that if the FPGA falls back to this Golden bitstream, even
if a new application bitstream is correctly loaded to the CONV-TTL-RS485 flash chip,
a power-cycle will be needed to run this new bitstream.
%--------------------------------------------------------------------------------------
% SUBSEC: Don't program bitstreams w/o the ICAP
%--------------------------------------------------------------------------------------
\subsection{Flash memory map}
\label{sec:reprog-flash-memmap}
The memory map for the CONV-TTL-RS485 32-Mbit on-board flash chip can be found in
Table~\ref{tbl:reprog-flash-memmap}.
\begin{table}[h]
\caption{Flash memory map}
\label{tbl:reprog-flash-memmap}
\centerline{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l l l l}
\hline
\multicolumn{3}{c}{\textbf{Address}} & \multicolumn{1}{c}{\textbf{Description}} \\
\hline
0x000000 & -- & 0x000043 & Header bitstream \\
0x000044 & -- & 0x16{}f{}f{}f{}f & Golden bitstream \\
0x170000 & -- & 0x2d{}f{}f{}f{}f & MultiBoot bitstream \\
0x2e0000 & -- & 0x3f{}f{}f{}f{}f & User non-volatile memory \\
\hline
\end{tabular}
}
\end{table}
Of the available four megabytes of non-volatile memory, three are used for storing the
bitstreams. The remaining one megabyte is available to the user to store custom application
data. The user can access this space via the FAR register. Note that in order to write
data to the flash, a special sequence of commands should be sent. The flash write sequence
is given in the datasheet of the flash chip~\cite{m25p32}.
%--------------------------------------------------------------------------------------
% SUBSEC: Don't program bitstreams w/o the ICAP
%--------------------------------------------------------------------------------------
\subsection{Important note regarding remote reprogramming}
\label{sec:reprog-imp-note}
Users should make sure that the new bitstream they program to the flash chip includes
the remote reprogramming module. Otherwise, once a bitstream without this module
inside it is loaded into the FPGA, the remote reprogramming capability of the FPGA is
lost, and the user will need to use JTAG or other means to program the FPGA with a
MultiBoot-enabled design.
%Thus, unless you are absolutely sure the bitstream without MultiBoot support is better
%than the Golden bitstream, always make sure the MultiBoot module is included in any bitstream
%generated after the Golden bitstream.
%%--------------------------------------------------------------------------------------
%% SUBSEC: Status on reception
%%--------------------------------------------------------------------------------------
%\subsection{Gateware status on reception}
%\label{sec:reprog-fwstat}
%
%CONV-TTL-RS485 boards arrive with the Header and Golden bitstreams, along with bitstream
%version 1.0 (see the bitstream releases webpage~\cite{conv-ttl-rs485-releases} for more details)
%programmed into the flash.
%======================================================================================
% Appendices
%======================================================================================
\pagebreak
\begin{appendices}
%======================================================================================
% APP: Typical use cases
%======================================================================================
\section{Typical use cases}
\label{app:use-cases}
%--------------------------------------------------------------------------------------
% SUBSEC: Timing distrib.
%--------------------------------------------------------------------------------------
\subsection{Timing distribution}
Due to the channel pass-through architecture of the pulse repetition logic, the
CONV-TTL-RS485 can be used as a timing distribution system. Figure~\ref{fig:ex-timing}
shows an example setup for this. Up to 18 timing signals can be distributed by the
CONV-TTL-RS485 to up to 256 nodes on the network.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/ex-timing}}
\caption{\label{fig:ex-timing} Setup for timing distribution}
\end{figure}
%--------------------------------------------------------------------------------------
% SUBSEC: TTL to TTL-BAR
%--------------------------------------------------------------------------------------
\subsection{Repeating TTL pulses in TTL-BAR}
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/ex-invert-ttl}}
\caption{Setup for repeating TTL pulses in TTL-BAR}
\label{fig:ex-invert-ttl}
\end{figure}
When the board has already been plugged in and the switch has been set in the
\textbf{OFF} position, only TTL-BAR pulses can be input on a front panel replication channel.
If the user desires to input a TTL pulse and repeat it into TTL-BAR, one of the four
general-purpose inverter channels can be used. Figure~\ref{fig:ex-invert-ttl} shows a
setup for inverting TTL pulses into TTL-BAR on inverting channel A and repeating them
on front panel channel 6.
The inverter channel will add a 30~ns delay to the input TTL signal.
%==============================================================================
% APP: Memmap
%==============================================================================
\section{Memory map}
\label{app:memmap}
Table~\ref{tbl:memmap} shows the complete memory map of the gateware. The
following sections list the memory map of each peripheral.
In order to convert address values to register index values for SNMP access,
the following formula should be used:
\begin{center}
$reg. index = \frac{addr}{4} + 1$
\end{center}
\begin{table}[h]
\caption{CONV-TTL-RS485 memory map}
\label{tbl:memmap}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l l l p{.4\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Peripheral}} & \multicolumn{2}{c}{\textbf{Address range}} & \multicolumn{1}{c}{\textbf{Description}} \\
\hline
Board registers & 0x000 & 0x0ff & Coverter board registers \\
MultiBoot & 0x100 & 0x01f & MultiBoot module \\
% Thermometer & 0x080 & 0x084 & Thermometer chip \\
\hline
\end{tabular}
}
\end{table}
%%------------------------------------------------------------------------------
%% SUBSEC: conv_regs
%%------------------------------------------------------------------------------
\include{conv-regs}
%------------------------------------------------------------------------------
% SUBSEC: MultiBoot
%------------------------------------------------------------------------------
\include{multiboot-regs}
%======================================================================================
\end{appendices}
%======================================================================================
%==============================================================================
% Bibliography
%==============================================================================
\pagebreak
\bibliographystyle{ieeetr}
\bibliography{ug-conv-ttl-rs485}
\end{document}
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment