Commit 0b680c53 authored by Matthieu Cattin's avatar Matthieu Cattin

manifest: Add sdb meta-info package to manifest, use sdb_extension branch of general-cores.

parent 80ac2711
......@@ -4,11 +4,12 @@ files = [
"utc_core_regs.vhd",
"utc_core.vhd",
"irq_controller_regs.vhd",
"irq_controller.vhd"];
"irq_controller.vhd",
"sdb_meta_pkg.vhd"];
modules = {
"local" : "../../adc/rtl",
"git" : ["git://ohwr.org/hdl-core-lib/general-cores.git::no_coregen",
"git" : ["git://ohwr.org/hdl-core-lib/general-cores.git::sdb_extension",
"git://ohwr.org/hdl-core-lib/ddr3-sp6-core.git::spec_bank3_64b_32b",
"git://ohwr.org/hdl-core-lib/gn4124-core.git::master"]}
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment