Commit 80ac2711 authored by Matthieu Cattin's avatar Matthieu Cattin

hdl: Add missing wishbone package to sdb meta-info package.

parent 24bfb057
......@@ -3,6 +3,8 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.wishbone_pkg.all;
package sdb_meta_pkg is
------------------------------------------------------------------------------
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment