Commit d39908de authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl: increased the multishot ram size for second FMC-ADC in SVEC

parent 4eaf8f24
......@@ -1030,7 +1030,7 @@ begin
------------------------------------------------------------------------------
cmp_fmc_adc_mezzanine_1 : fmc_adc_mezzanine
generic map(
g_multishot_ram_size => 2048,
g_multishot_ram_size => 8192,
g_carrier_type => "SVEC"
)
port map(
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment