Commit 9464d0e8 authored by Tom Levens's avatar Tom Levens

Take version from sourceid

parent a3dc2ea8
...@@ -40,10 +40,6 @@ use work.wishbone_pkg.all; ...@@ -40,10 +40,6 @@ use work.wishbone_pkg.all;
package FfpgPkg is package FfpgPkg is
constant VersionMajor: natural := 2;
constant VersionMinor: natural := 0;
constant VersionRevision: natural := 0;
type t_Ad5600Interface is record type t_Ad5600Interface is record
FrameSynchronization_n: std_logic; FrameSynchronization_n: std_logic;
SerialClock: std_logic; SerialClock: std_logic;
......
...@@ -47,6 +47,7 @@ use ieee.numeric_std.all; ...@@ -47,6 +47,7 @@ use ieee.numeric_std.all;
use work.FfpgPkg.all; use work.FfpgPkg.all;
use work.ffpg_wbgen2_pkg.all; use work.ffpg_wbgen2_pkg.all;
use work.wishbone_pkg.all; use work.wishbone_pkg.all;
use work.sourceid_svec_top_ffpg_pkg;
entity FfpgSlave is entity FfpgSlave is
generic ( generic (
...@@ -398,8 +399,8 @@ begin ...@@ -398,8 +399,8 @@ begin
-- Version information -- Version information
---------------------------------- ----------------------------------
WbRegsInput.version_major_i <= to_unsigned(VersionMajor, WbRegsInput.version_major_i'length); WbRegsInput.version_major_i <= "00" & unsigned(sourceid_svec_top_ffpg_pkg.version(31 downto 24));
WbRegsInput.version_minor_i <= to_unsigned(VersionMinor, WbRegsInput.version_minor_i'length); WbRegsInput.version_minor_i <= "00" & unsigned(sourceid_svec_top_ffpg_pkg.version(23 downto 16));
WbRegsInput.version_revision_i <= to_unsigned(VersionRevision, WbRegsInput.version_revision_i'length); WbRegsInput.version_revision_i <= unsigned(sourceid_svec_top_ffpg_pkg.version(11 downto 0));
end architecture; end architecture;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment