Commit 1b63405e authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

testbench/vme_irq: check behaviour of multiple SVECs on same bus

parent 8ea34c3d
......@@ -36,8 +36,8 @@ module svec_vme_buffers (
inout [31:0] VME_DATA_b,
output VME_BBSY_n_o,
input [6:0] VME_IRQ_n_i,
output VME_IACKIN_n_o,
input VME_IACKOUT_n_i,
// output VME_IACKIN_n_o,
// input VME_IACKOUT_n_i,
output VME_IACK_n_o,
input VME_DTACK_OE_i,
input VME_DATA_DIR_i,
......@@ -66,8 +66,8 @@ module svec_vme_buffers (
pullup(slave.berr_n);
pullup(slave.write_n);
pulldown(slave.bbsy_n);
pullup(slave.iackin_n);
pullup(slave.iackout_n);
// pullup(slave.iackin_n);
// pullup(slave.iackout_n);
genvar i;
......@@ -87,7 +87,7 @@ module svec_vme_buffers (
assign VME_AM_o = slave.am;
assign VME_DS_n_o = slave.ds_n;
assign VME_BBSY_n_o = slave.bbsy_n;
assign VME_IACKIN_n_o = slave.iackin_n;
// assign VME_IACKIN_n_o = slave.iackin_n;
assign VME_IACK_n_o = slave.iack_n;
bidir_buf #(1) b0 (slave.lword_n, VME_LWORD_n_b, VME_ADDR_DIR_i, VME_ADDR_OE_N_i);
......@@ -100,60 +100,62 @@ module svec_vme_buffers (
pulldown(VME_DATA_DIR_i);
pulldown(VME_DATA_OE_N_i);
assign slave.dtack_n = VME_DTACK_n_i;
assign slave.dtack_n = VME_DTACK_OE_i ? VME_DTACK_n_i : 1'bz;
assign slave.berr_n = ~VME_BERR_i;
assign slave.retry_n = VME_RETRY_n_i;
assign slave.iackout_n = VME_IACKOUT_n_i;
// assign slave.iackout_n = VME_IACKOUT_n_i;
endmodule
`define DECLARE_VME_BUFFERS(iface) \
wire VME_AS_n;\
wire VME_RST_n;\
wire VME_WRITE_n;\
wire [5:0] VME_AM;\
wire [1:0] VME_DS_n;\
wire VME_BERR;\
wire VME_DTACK_n;\
wire VME_RETRY_n;\
wire VME_RETRY_OE;\
wire VME_LWORD_n;\
wire [31:1]VME_ADDR;\
wire [31:0]VME_DATA;\
wire VME_BBSY_n;\
wire [6:0]VME_IRQ_n;\
wire VME_IACKIN_n,VME_IACK_n;\
wire VME_IACKOUT_n;\
wire VME_DTACK_OE;\
wire VME_DATA_DIR;\
wire VME_DATA_OE_N;\
wire VME_ADDR_DIR;\
wire VME_ADDR_OE_N;\
svec_vme_buffers U_VME_Bufs ( \
.VME_AS_n_o(VME_AS_n),\
.VME_RST_n_o(VME_RST_n),\
.VME_WRITE_n_o(VME_WRITE_n),\
.VME_AM_o(VME_AM),\
.VME_DS_n_o(VME_DS_n),\
.VME_BERR_i(VME_BERR),\
.VME_DTACK_n_i(VME_DTACK_n),\
.VME_RETRY_n_i(VME_RETRY_n),\
.VME_RETRY_OE_i(VME_RETRY_OE),\
.VME_LWORD_n_b(VME_LWORD_n),\
.VME_ADDR_b(VME_ADDR),\
.VME_DATA_b(VME_DATA),\
.VME_BBSY_n_o(VME_BBSY_n),\
.VME_IRQ_n_i(VME_IRQ_n),\
.VME_IACK_n_o(VME_IACK_n),\
.VME_IACKIN_n_o(VME_IACKIN_n),\
.VME_IACKOUT_n_i(VME_IACKOUT_n),\
.VME_DTACK_OE_i(VME_DTACK_OE),\
.VME_DATA_DIR_i(VME_DATA_DIR),\
.VME_DATA_OE_N_i(VME_DATA_OE_N),\
.VME_ADDR_DIR_i(VME_ADDR_DIR),\
.VME_ADDR_OE_N_i(VME_ADDR_OE_N),\
`define DECLARE_VME_BUFFERS(iface,slot) \
wire VME_AS_n_``slot;\
wire VME_RST_n_``slot;\
wire VME_WRITE_n_``slot;\
wire [5:0] VME_AM_``slot;\
wire [1:0] VME_DS_n_``slot;\
wire VME_BERR_``slot;\
wire VME_DTACK_n_``slot;\
wire VME_RETRY_n_``slot;\
wire VME_RETRY_OE_``slot;\
wire VME_LWORD_n_``slot;\
wire [31:1]VME_ADDR_``slot;\
wire [31:0]VME_DATA_``slot;\
wire VME_BBSY_n_``slot;\
wire [6:0]VME_IRQ_n_``slot;\
wire VME_IACK_n_``slot;\
// wire VME_IACKOUT_n_``slot;\
wire VME_DTACK_OE_``slot;\
wire VME_DATA_DIR_``slot;\
wire VME_DATA_OE_N_``slot;\
wire VME_ADDR_DIR_``slot;\
wire VME_ADDR_OE_N_``slot;\
svec_vme_buffers U_VME_Bufs_``slot ( \
.VME_AS_n_o(VME_AS_n_``slot),\
.VME_RST_n_o(VME_RST_n_``slot),\
.VME_WRITE_n_o(VME_WRITE_n_``slot),\
.VME_AM_o(VME_AM_``slot),\
.VME_DS_n_o(VME_DS_n_``slot),\
.VME_BERR_i(VME_BERR_``slot),\
.VME_DTACK_n_i(VME_DTACK_n_``slot),\
.VME_RETRY_n_i(VME_RETRY_n_``slot),\
.VME_RETRY_OE_i(VME_RETRY_OE_``slot),\
.VME_LWORD_n_b(VME_LWORD_n_``slot),\
.VME_ADDR_b(VME_ADDR_``slot),\
.VME_DATA_b(VME_DATA_``slot),\
.VME_BBSY_n_o(VME_BBSY_n_``slot),\
.VME_IRQ_n_i(VME_IRQ_n_``slot),\
.VME_IACK_n_o(VME_IACK_n_``slot),\
// .VME_IACKIN_n_o(VME_IACKIN_n_``slot),\
// .VME_IACKOUT_n_i(VME_IACKOUT_n_``slot),\
.VME_DTACK_OE_i(VME_DTACK_OE_``slot),\
.VME_DATA_DIR_i(VME_DATA_DIR_``slot),\
.VME_DATA_OE_N_i(VME_DATA_OE_N_``slot),\
.VME_ADDR_DIR_i(VME_ADDR_DIR_``slot),\
.VME_ADDR_OE_N_i(VME_ADDR_OE_N_``slot),\
.slave(iface)\
);
......@@ -164,30 +166,30 @@ endfunction // _gen_ga
`define WIRE_VME_PINS(slot_id) \
.VME_AS_n_i(VME_AS_n),\
.VME_RST_n_i(VME_RST_n),\
.VME_WRITE_n_i(VME_WRITE_n),\
.VME_AM_i(VME_AM),\
.VME_DS_n_i(VME_DS_n),\
.VME_GA_i(_gen_ga(slot_id)),\
.VME_BERR_o(VME_BERR),\
.VME_DTACK_n_o(VME_DTACK_n),\
.VME_RETRY_n_o(VME_RETRY_n),\
.VME_RETRY_OE_o(VME_RETRY_OE),\
.VME_LWORD_n_b(VME_LWORD_n),\
.VME_ADDR_b(VME_ADDR),\
.VME_DATA_b(VME_DATA),\
.VME_BBSY_n_i(VME_BBSY_n),\
.VME_IRQ_n_o(VME_IRQ_n),\
.VME_IACK_n_i(VME_IACK_n),\
.VME_IACKIN_n_i(VME_IACKIN_n),\
.VME_IACKOUT_n_o(VME_IACKOUT_n),\
.VME_DTACK_OE_o(VME_DTACK_OE),\
.VME_DATA_DIR_o(VME_DATA_DIR),\
.VME_DATA_OE_N_o(VME_DATA_OE_N),\
.VME_ADDR_DIR_o(VME_ADDR_DIR),\
.VME_ADDR_OE_N_o(VME_ADDR_OE_N)
`define WIRE_VME_PINS(slot) \
.VME_AS_n_i(VME_AS_n_``slot),\
.VME_RST_n_i(VME_RST_n_``slot),\
.VME_WRITE_n_i(VME_WRITE_n_``slot),\
.VME_AM_i(VME_AM_``slot),\
.VME_DS_n_i(VME_DS_n_``slot),\
.VME_GA_i(_gen_ga(slot)),\
.VME_BERR_o(VME_BERR_``slot),\
.VME_DTACK_n_o(VME_DTACK_n_``slot),\
.VME_RETRY_n_o(VME_RETRY_n_``slot),\
.VME_RETRY_OE_o(VME_RETRY_OE_``slot),\
.VME_LWORD_n_b(VME_LWORD_n_``slot),\
.VME_ADDR_b(VME_ADDR_``slot),\
.VME_DATA_b(VME_DATA_``slot),\
.VME_BBSY_n_i(VME_BBSY_n_``slot),\
.VME_IRQ_n_o(VME_IRQ_n_``slot),\
.VME_IACK_n_i(VME_IACK_n_``slot),\
// .VME_IACKIN_n_i(VME_IACKIN_n_``slot),\
// .VME_IACKOUT_n_o(VME_IACKOUT_n_``slot),\
.VME_DTACK_OE_o(VME_DTACK_OE_``slot),\
.VME_DATA_DIR_o(VME_DATA_DIR_``slot),\
.VME_DATA_OE_N_o(VME_DATA_OE_N_``slot),\
.VME_ADDR_DIR_o(VME_ADDR_DIR_``slot),\
.VME_ADDR_OE_N_o(VME_ADDR_OE_N_``slot)
\ No newline at end of file
......@@ -37,7 +37,7 @@ interface IVME64X ( input sys_rst_n_i );
wire [31:0] data;
wire bbsy_n;
wire [6:0] irq_n;
wire iackin_n, iackout_n, iack_n;
wire iack_n;
logic q_as_n = 1'bz;
logic q_rst_n = 1'bz;
......@@ -52,7 +52,7 @@ interface IVME64X ( input sys_rst_n_i );
logic [31:0] q_data = 32'bz;
logic q_bbsy_n = 1'bz;
logic [6:0] q_irq_n = 7'bz;
logic q_iackin_n = 1'bz, q_iackout_n = 1'bz, q_iack_n = 1'bz;
logic q_iack_n = 1'bz;
/* SystemVerilog does not allow pullups inside interfaces or on logic type */
......@@ -71,8 +71,6 @@ interface IVME64X ( input sys_rst_n_i );
assign data = q_data;
assign bbsy_n = q_bbsy_n;
assign irq_n = q_irq_n;
assign iackin_n = q_iackin_n;
assign iackout_n = q_iackout_n;
assign iack_n = q_iack_n;
// VME Master
......@@ -85,11 +83,9 @@ interface IVME64X ( input sys_rst_n_i );
output ds_n,
output ga,
output bbsy_n,
output iackin_n,
output iack_n,
input berr_n,
input irq_n,
input iackout_n,
inout addr,
inout data,
inout lword_n,
......@@ -103,11 +99,9 @@ interface IVME64X ( input sys_rst_n_i );
input q_ds_n,
input q_ga,
input q_bbsy_n,
input q_iackin_n,
input q_iack_n,
input q_berr_n,
input q_irq_n,
input q_iackout_n,
input q_addr,
input q_data,
input q_lword_n,
......@@ -124,11 +118,9 @@ interface IVME64X ( input sys_rst_n_i );
output ds_n,
output ga,
output bbsy_n,
output iackin_n,
output iack_n,
input berr_n,
input irq_n,
input iackout_n,
inout addr,
inout data,
inout lword_n,
......@@ -146,11 +138,9 @@ interface IVME64X ( input sys_rst_n_i );
input ds_n,
input ga,
input bbsy_n,
input iackin_n,
input iack_n,
output berr_n,
output irq_n,
output iackout_n,
inout addr,
inout data,
inout lword_n,
......@@ -211,10 +201,21 @@ class CBusAccessor_VME64x extends CBusAccessor;
function new(virtual IVME64X.tb _vme);
vme = _vme;
m_ga = 6'b010111;
vme.q_ga = m_ga;
m_ga = 06'b010111;
// vme.q_ga = m_ga;
endfunction // new
task set_slot(int slot);
m_ga = ~slot;
m_ga[5] = m_ga[0] ^ m_ga[1] ^ m_ga[2] ^ m_ga[3] ^ m_ga[4];
// vme.q_ga = m_ga;
endtask // set_slot
protected task acknowledge_irq(int level, ref int vector);
......@@ -223,7 +224,6 @@ class CBusAccessor_VME64x extends CBusAccessor;
#40ns;
vme.q_addr[3:1] = level;
vme.q_iackin_n = 1'b0;
vme.q_iack_n = 1'b0;
vme.q_am = 'h29;
#100ns;
......@@ -238,7 +238,6 @@ class CBusAccessor_VME64x extends CBusAccessor;
vector = vme.data;
vme.q_iackin_n = 1'b1;
vme.q_iack_n = 1'b1;
#100ns;
release_bus();
......@@ -343,6 +342,7 @@ class CBusAccessor_VME64x extends CBusAccessor;
#40ns;
end // for (i=0;i<_data.size();i++)
#100ns;
release_bus();
endtask // rw_generic
......
action = "simulation"
target = "xilinx"
fetchto = "../../ip_cores"
vlog_opt="+incdir+../../include/wb +incdir+../../include/vme64x_bfm +incdir+../../include"
#top_module = "main"
#sim_tool="modelsim"
files = [ "main.sv" ]
#include_dirs =[".", "../../include", "../../include/vme64x_bfm", "../../include/wb"]
modules = { "local" : [ "../../top/svec/wr" ] }
`timescale 10fs/10fs
`include "acam_model.svh"
`include "tunable_clock_gen.svh"
`include "random_pulse_gen.svh"
`include "jittery_delay.svh"
`include "mc100ep195.vh"
`include "wb/simdrv_defs.svh"
`include "wb/if_wb_master.svh"
`timescale 10fs/10fs
module trivial_spi_gpio(input sclk, cs_n, mosi, output reg [7:0] gpio);
int bit_count = 0;
reg [7:0] sreg;
always@(negedge cs_n)
bit_count <= 0;
always@(posedge sclk)
begin
bit_count <= bit_count + 1;
sreg <= { sreg[6:0], mosi };
end
always@(posedge cs_n)
if(bit_count == 24)
gpio <= sreg[7:0];
initial gpio = 0;
endmodule // trivial_spi
/* Board-level wrapper */
interface IFineDelayFMC;
wire tdc_start_p;
wire tdc_start_n;
wire clk_ref_p;
wire clk_ref_n;
wire trig_a;
wire tdc_cal_pulse;
wire [27:0] tdc_d;
wire tdc_emptyf;
wire tdc_alutrigger;
wire tdc_wr_n;
wire tdc_rd_n;
wire tdc_oe_n;
wire led_trig;
wire tdc_start_dis;
wire tdc_stop_dis;
wire spi_cs_dac_n;
wire spi_cs_pll_n;
wire spi_cs_gpio_n;
wire spi_sclk;
wire spi_mosi;
wire spi_miso;
wire [3:0] delay_len;
wire [9:0] delay_val;
wire [3:0] delay_pulse;
wire dmtd_clk;
wire dmtd_fb_in;
wire dmtd_fb_out;
wire pll_status;
wire ext_rst_n;
wire onewire;
modport board
(
output tdc_start_p, tdc_start_n, clk_ref_p, clk_ref_n, trig_a, spi_miso,
tdc_emptyf, dmtd_fb_in, dmtd_fb_out, pll_status,
input tdc_cal_pulse, tdc_wr_n, tdc_rd_n, tdc_oe_n, tdc_alutrigger, led_trig, tdc_start_dis,
tdc_stop_dis, spi_cs_dac_n, spi_cs_pll_n, spi_cs_gpio_n, spi_sclk, spi_mosi,
delay_len, delay_val, delay_pulse, dmtd_clk, ext_rst_n,
inout onewire, tdc_d);
modport core
(
input tdc_start_p, tdc_start_n, clk_ref_p, clk_ref_n, trig_a, spi_miso,
tdc_emptyf, dmtd_fb_in, dmtd_fb_out, pll_status,
output tdc_cal_pulse, tdc_wr_n, tdc_rd_n, tdc_oe_n, tdc_alutrigger, led_trig, tdc_start_dis,
tdc_stop_dis, spi_cs_dac_n, spi_cs_pll_n, spi_cs_gpio_n, spi_sclk, spi_mosi,
delay_len, delay_val, delay_pulse, dmtd_clk, ext_rst_n,
inout onewire, tdc_d);
endinterface // IFineDelayFMC
module fdelay_board (
input trig_i,
output [3:0] out_o,
IFineDelayFMC.board fmc
);
reg clk_ref_250 = 0;
reg clk_ref_125 = 0;
reg clk_tdc = 0;
reg [3:0] tdc_start_div = 0;
reg tdc_start;
always #(4ns / 2) clk_ref_250 <= ~clk_ref_250;
always@(posedge clk_ref_250) clk_ref_125 <= ~clk_ref_125;
always #(32ns / 2) clk_tdc <= ~clk_tdc;
assign fmc.clk_ref_p = clk_ref_125;
assign fmc.clk_ref_n = ~clk_ref_125;
always@(posedge clk_ref_125) begin
tdc_start_div <= tdc_start_div + 1;
tdc_start <= tdc_start_div[3];
end
assign fmc.tdc_start_p = tdc_start;
assign fmc.tdc_start_n = ~tdc_start;
wire trig_a_muxed;
wire [7:0] spi_gpio_out;
wire trig_cal_sel = 1'b1;
assign trig_a_muxed = (trig_cal_sel ? trig_i : fmc.tdc_cal_pulse);
trivial_spi_gpio
SPI_GPIO (
.sclk(fmc.spi_sclk),
.cs_n(fmc.spi_cs_gpio_n),
.mosi(fmc.spi_mosi),
.gpio(spi_gpio_out));
acam_model
#(
.g_verbose(0)
) ACAM (
.PuResN(fmc.ext_rst_n),
.Alutrigger(fmc.tdc_alutrigger),
.RefClk (clk_tdc),
.WRN(fmc.tdc_wr_n),
.RDN(fmc.tdc_rd_n),
.CSN(1'b0),
.OEN(fmc.tdc_oe_n),
.Adr(spi_gpio_out[3:0]),
.D(fmc.tdc_d),
.DStart(tdc_start_delayed),
.DStop1(trig_a_muxed),
.DStop2(1'b0),
.TStart(1'b0),
.TStop(1'b0),
.StartDis(fmc.tdc_start_dis),
.StopDis(fmc.tdc_stop_dis),
.IrFlag(),
.ErrFlag(),
.EF1 (fmc.tdc_emptyf),
.LF1 ()
);
jittery_delay
#(
.g_delay(3ns),
.g_jitter(10ps)
)
DLY_TRIG
(
.in_i(trig_a_muxed),
.out_o(trig_a_n_delayed)
);
assign fmc.trig_a = trig_a_n_delayed;
jittery_delay
#(
.g_delay(2.2ns),
.g_jitter(10ps)
)
DLY_TDC_START
(
.in_i(tdc_start),
.out_o(tdc_start_delayed)
);
genvar gg;
function bit[9:0] reverse_bits (bit [9:0] x);
reg [9:0] tmp;
int i;
for(i=0;i<10;i++)
tmp[9-i]=x[i];
return tmp;
endfunction // reverse_bits
mc100ep195
U_delay_line0(
.len(fmc.delay_len[0]),
.i(fmc.delay_pulse[0]),
.delay(reverse_bits(fmc.delay_val)),
.o(out_o[0]));
endmodule // main
`define WIRE_FINE_DELAY_PINS(fmc_index,iface) \
.fd``fmc_index``_tdc_start_p_i (iface.core.tdc_start_p), \
.fd``fmc_index``_tdc_start_n_i (iface.core.tdc_start_n), \
.fd``fmc_index``_clk_ref_p_i (iface.core.clk_ref_p), \
.fd``fmc_index``_clk_ref_n_i (iface.core.clk_ref_n), \
.fd``fmc_index``_trig_a_i (iface.core.trig_a), \
.fd``fmc_index``_tdc_cal_pulse_o (iface.core.tdc_cal_pulse), \
.fd``fmc_index``_tdc_d_b (iface.core.tdc_d), \
.fd``fmc_index``_tdc_emptyf_i (iface.core.tdc_emptyf), \
.fd``fmc_index``_tdc_alutrigger_o (iface.core.tdc_alutrigger), \
.fd``fmc_index``_tdc_wr_n_o (iface.core.tdc_wr_n), \
.fd``fmc_index``_tdc_rd_n_o (iface.core.tdc_rd_n), \
.fd``fmc_index``_tdc_oe_n_o (iface.core.tdc_oe_n), \
.fd``fmc_index``_led_trig_o (iface.core.led_trig), \
.fd``fmc_index``_tdc_start_dis_o (iface.core.tdc_start_dis), \
.fd``fmc_index``_tdc_stop_dis_o (iface.core.tdc_stop_dis), \
.fd``fmc_index``_spi_cs_dac_n_o (iface.core.spi_cs_dac_n), \
.fd``fmc_index``_spi_cs_pll_n_o (iface.core.spi_cs_pll_n), \
.fd``fmc_index``_spi_cs_gpio_n_o (iface.core.spi_cs_gpio_n), \
.fd``fmc_index``_spi_sclk_o (iface.core.spi_sclk), \
.fd``fmc_index``_spi_mosi_o (iface.core.spi_mosi), \
.fd``fmc_index``_spi_miso_i (iface.core.spi_miso), \
.fd``fmc_index``_delay_len_o (iface.core.delay_len), \
.fd``fmc_index``_delay_val_o (iface.core.delay_val), \
.fd``fmc_index``_delay_pulse_o (iface.core.delay_pulse), \
.fd``fmc_index``_dmtd_clk_o (iface.core.dmtd_clk), \
.fd``fmc_index``_dmtd_fb_in_i (iface.core.dmtd_fb_in), \
.fd``fmc_index``_dmtd_fb_out_i (iface.core.dmtd_fb_out), \
.fd``fmc_index``_pll_status_i (iface.core.pll_status), \
.fd``fmc_index``_ext_rst_n_o (iface.core.ext_rst_n), \
.fd``fmc_index``_onewire_b (iface.core.onewire)
`include "vme64x_bfm.svh"
`include "svec_vme_buffers.svh"
`include "fdelay_board.svh"
module main;
reg rst_n = 0;
reg clk_125m = 0, clk_20m = 0;
always #4ns clk_125m <= ~clk_125m;
always #25ns clk_20m <= ~clk_20m;
initial begin
repeat(20) @(posedge clk_125m);
rst_n = 1;
end
//IFineDelayFMC I_fmc0(), I_fmc1();
IVME64X VME(rst_n);
`DECLARE_VME_BUFFERS(VME.slave,8);
`DECLARE_VME_BUFFERS(VME.slave,9);
`DECLARE_VME_BUFFERS(VME.slave,10);
wire daisy_iack,daisy_iack2,daisy_iack3;
svec_top #(
.g_with_wr_phy(0),
.g_simulation(1)
) U_SVEC1 (
.clk_125m_pllref_p_i(clk_125m),
.clk_125m_pllref_n_i(~clk_125m),
.clk_125m_gtp_p_i(clk_125m),
.clk_125m_gtp_n_i(~clk_125m),
.clk_20m_vcxo_i(clk_20m),
.rst_n_i(rst_n),
.VME_IACKIN_n_i(VME.iack_n),
// .VME_IACK_n_i(VME.iack_n),
.VME_IACKOUT_n_o(daisy_iack),
`WIRE_VME_PINS(8)
);
svec_top #(
.g_with_wr_phy(0),
.g_simulation(1)
) U_SVEC2 (
.clk_125m_pllref_p_i(clk_125m),
.clk_125m_pllref_n_i(~clk_125m),
.clk_125m_gtp_p_i(clk_125m),
.clk_125m_gtp_n_i(~clk_125m),
.clk_20m_vcxo_i(clk_20m),
.rst_n_i(rst_n),
.VME_IACKIN_n_i(daisy_iack),
// .VME_IACK_n_i(VME.iack_n),
.VME_IACKOUT_n_o(daisy_iack2),
`WIRE_VME_PINS(9)
);
svec_top #(
.g_with_wr_phy(0),
.g_simulation(1)
) U_SVEC3 (
.clk_125m_pllref_p_i(clk_125m),
.clk_125m_pllref_n_i(~clk_125m),
.clk_125m_gtp_p_i(clk_125m),
.clk_125m_gtp_n_i(~clk_125m),
.clk_20m_vcxo_i(clk_20m),
.rst_n_i(rst_n),
.VME_IACKIN_n_i(daisy_iack2),
// .VME_IACK_n_i(VME.iack_n),
.VME_IACKOUT_n_o(daisy_iack3),
`WIRE_VME_PINS(10)
);
task automatic config_vme_function(ref CBusAccessor_VME64x acc, input int slot, input int func, uint64_t base, int am);
uint64_t addr = 'h7ff63 + func * 'h10;
uint64_t val = (base) | (am << 2);
acc.set_slot(slot);
$display("Func%d ADER=0x%x", func, val);
acc.write(addr + 0, (val >> 24) & 'hff, CR_CSR|A32|D08Byte3);
acc.write(addr + 4, (val >> 16) & 'hff, CR_CSR|A32|D08Byte3);
acc.write(addr + 8, (val >> 8) & 'hff, CR_CSR|A32|D08Byte3);
acc.write(addr + 12, (val >> 0) & 'hff, CR_CSR|A32|D08Byte3);
endtask // config_vme_function
task automatic enable_vme_core (ref CBusAccessor_VME64x acc, input int slot );
acc.set_slot(slot);
acc.write('h7ff33, 1, CR_CSR|A32|D08Byte3);
acc.write('h7fffb, 'h10, CR_CSR|A32|D08Byte3); /* enable module (BIT_SET = 0x10) */
acc.set_default_modifiers(A24 | D32 | SINGLE);
endtask // enable_vme_core
task automatic init_vme64x_core(ref CBusAccessor_VME64x acc);
uint64_t rv;
/* map slot 8 func1 to 0xc00000, A24 */
config_vme_function(acc, 8, 1, 'hc00000, 'h39);
enable_vme_core(acc, 8);
config_vme_function(acc, 9, 1, 'hc80000, 'h39);
enable_vme_core(acc, 9);
acc.set_default_modifiers(A24 | D32 | SINGLE);
endtask // init_vme64x_core
reg irq_req = 0;
initial begin
#100us;
irq_req = 1;
#100us;
irq_req = 0;
#100us;
irq_req = 0;
#1ms;
irq_req = 0;
#1ms;
end
assign U_SVEC1.vic_master_irq = irq_req;
assign U_SVEC2.vic_master_irq = 0;
assign U_SVEC3.vic_master_irq = 0;
initial begin
uint64_t rv;
CBusAccessor_VME64x acc = new(VME.master);
CBusAccessor acc_casted = CBusAccessor'(acc);
#50us;
init_vme64x_core(acc);
// init_vme64x_core(acc, 9, 'hb80000, 'h88);
acc_casted.set_default_xfer_size(A24|SINGLE|D32);
acc.read('hc00000, rv, A24|SINGLE|D32);
acc.read('hc80000, rv, A24|SINGLE|D32);
// acc.read('hb80000, rv, A24|SINGLE|D32);
end // initial begin
endmodule // main
vlog -sv main.sv +incdir+. +incdir+../../include/wb +incdir+../../include/vme64x_bfm +incdir+../../include
vsim work.main -voptargs=+acc
set StdArithNoWarnings 1
set NumericStdNoWarnings 1
do wave.do
radix -hexadecimal
run 100us
\ No newline at end of file
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -expand -group VNME /main/VME/sys_rst_n_i
add wave -noupdate -expand -group VNME /main/VME/as_n
add wave -noupdate -expand -group VNME /main/VME/rst_n
add wave -noupdate -expand -group VNME /main/VME/write_n
add wave -noupdate -expand -group VNME /main/VME/am
add wave -noupdate -expand -group VNME /main/VME/ds_n
add wave -noupdate -expand -group VNME /main/VME/ga
add wave -noupdate -expand -group VNME /main/VME/berr_n
add wave -noupdate -expand -group VNME /main/VME/dtack_n
add wave -noupdate -expand -group VNME /main/VME/retry_n
add wave -noupdate -expand -group VNME /main/VME/lword_n
add wave -noupdate -expand -group VNME /main/VME/addr
add wave -noupdate -expand -group VNME /main/VME/data
add wave -noupdate -expand -group VNME /main/VME/bbsy_n
add wave -noupdate -expand -group VNME /main/VME/irq_n
add wave -noupdate -expand -group VNME /main/VME/iack_n
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/clk_i
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/reset_n_i
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_IACKIN_n_i
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_AS_n_i
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_DS_n_i
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_ADDR_123_i
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/INT_Level_i
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/INT_Vector_i
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/INT_Req_i
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_IRQ_n_o
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_IACKOUT_n_o
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_DTACK_n_o
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_DTACK_OE_o
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_DATA_o
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_DATA_DIR_o
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/retry_count
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/retry_state
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/as_n_d0
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/as_rising_p
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/as_falling_p
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/vme_addr_latched
add wave -noupdate -group Int1 /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/state
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/clk_i
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/reset_n_i
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_IACKIN_n_i
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_AS_n_i
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_DS_n_i
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_ADDR_123_i
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/INT_Level_i
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/INT_Vector_i
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/INT_Req_i
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_IRQ_n_o
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_IACKOUT_n_o
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_DTACK_n_o
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_DTACK_OE_o
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_DATA_o
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/VME_DATA_DIR_o
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/retry_count
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/retry_state
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/as_n_d0
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/as_rising_p
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/as_falling_p
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/vme_addr_latched
add wave -noupdate -group Int2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/Inst_VME_IRQ_Controller/state
add wave -noupdate -group Svec1 /main/U_SVEC1/clk_20m_vcxo_i
add wave -noupdate -group Svec1 /main/U_SVEC1/clk_125m_pllref_p_i
add wave -noupdate -group Svec1 /main/U_SVEC1/clk_125m_pllref_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/clk_125m_gtp_p_i
add wave -noupdate -group Svec1 /main/U_SVEC1/clk_125m_gtp_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/rst_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fp_led_line_oen_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fp_led_line_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fp_led_column_o
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_AS_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_RST_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_WRITE_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_AM_i
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_DS_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_GA_i
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_BERR_o
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_DTACK_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_RETRY_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_RETRY_OE_o
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_LWORD_n_b
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_ADDR_b
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_DATA_b
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_BBSY_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_IRQ_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_IACK_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_IACKIN_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_IACKOUT_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_DTACK_OE_o
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_DATA_DIR_o
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_DATA_OE_N_o
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_ADDR_DIR_o
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_ADDR_OE_N_o
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_txp_o
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_txn_o
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_rxp_i
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_rxn_i
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_mod_def0_b
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_mod_def1_b
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_mod_def2_b
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_rate_select_b
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_tx_fault_i
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_tx_disable_o
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_los_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fmc0_prsntm2c_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fmc1_prsntm2c_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fmc0_scl_b
add wave -noupdate -group Svec1 /main/U_SVEC1/fmc0_sda_b
add wave -noupdate -group Svec1 /main/U_SVEC1/fmc1_scl_b
add wave -noupdate -group Svec1 /main/U_SVEC1/fmc1_sda_b
add wave -noupdate -group Svec1 /main/U_SVEC1/pll20dac_din_o
add wave -noupdate -group Svec1 /main/U_SVEC1/pll20dac_sclk_o
add wave -noupdate -group Svec1 /main/U_SVEC1/pll20dac_sync_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/pll25dac_din_o
add wave -noupdate -group Svec1 /main/U_SVEC1/pll25dac_sclk_o
add wave -noupdate -group Svec1 /main/U_SVEC1/pll25dac_sync_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/tempid_dq_b
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_tdc_start_p_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_tdc_start_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_clk_ref_p_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_clk_ref_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_trig_a_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_tdc_cal_pulse_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_tdc_d_b
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_tdc_emptyf_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_tdc_alutrigger_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_tdc_wr_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_tdc_rd_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_tdc_oe_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_led_trig_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_tdc_start_dis_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_tdc_stop_dis_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_spi_cs_dac_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_spi_cs_pll_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_spi_cs_gpio_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_spi_sclk_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_spi_mosi_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_spi_miso_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_delay_len_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_delay_val_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_delay_pulse_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_dmtd_clk_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_dmtd_fb_in_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_dmtd_fb_out_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_pll_status_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_ext_rst_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_onewire_b
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_tdc_start_p_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_tdc_start_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_clk_ref_p_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_clk_ref_n_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_trig_a_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_tdc_cal_pulse_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_tdc_d_b
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_tdc_emptyf_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_tdc_alutrigger_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_tdc_wr_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_tdc_rd_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_tdc_oe_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_led_trig_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_tdc_start_dis_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_tdc_stop_dis_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_spi_cs_dac_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_spi_cs_pll_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_spi_cs_gpio_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_spi_sclk_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_spi_mosi_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_spi_miso_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_delay_len_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_delay_val_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_delay_pulse_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_dmtd_clk_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_dmtd_fb_in_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_dmtd_fb_out_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_pll_status_i
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_ext_rst_n_o
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_onewire_b
add wave -noupdate -group Svec1 /main/U_SVEC1/uart_rxd_i
add wave -noupdate -group Svec1 /main/U_SVEC1/uart_txd_o
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_DATA_b_out
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_ADDR_b_out
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_LWORD_n_b_out
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_DATA_DIR_int
add wave -noupdate -group Svec1 /main/U_SVEC1/VME_ADDR_DIR_int
add wave -noupdate -group Svec1 /main/U_SVEC1/dac_hpll_load_p1
add wave -noupdate -group Svec1 /main/U_SVEC1/dac_dpll_load_p1
add wave -noupdate -group Svec1 /main/U_SVEC1/dac_hpll_data
add wave -noupdate -group Svec1 /main/U_SVEC1/dac_dpll_data
add wave -noupdate -group Svec1 /main/U_SVEC1/phy_tx_data
add wave -noupdate -group Svec1 /main/U_SVEC1/phy_tx_k
add wave -noupdate -group Svec1 /main/U_SVEC1/phy_tx_disparity
add wave -noupdate -group Svec1 /main/U_SVEC1/phy_tx_enc_err
add wave -noupdate -group Svec1 /main/U_SVEC1/phy_rx_data
add wave -noupdate -group Svec1 /main/U_SVEC1/phy_rx_rbclk
add wave -noupdate -group Svec1 /main/U_SVEC1/phy_rx_k
add wave -noupdate -group Svec1 /main/U_SVEC1/phy_rx_enc_err
add wave -noupdate -group Svec1 /main/U_SVEC1/phy_rx_bitslide
add wave -noupdate -group Svec1 /main/U_SVEC1/phy_rst
add wave -noupdate -group Svec1 /main/U_SVEC1/phy_loopen
add wave -noupdate -group Svec1 /main/U_SVEC1/cnx_master_out
add wave -noupdate -group Svec1 /main/U_SVEC1/cnx_master_in
add wave -noupdate -group Svec1 /main/U_SVEC1/cnx_slave_out
add wave -noupdate -group Svec1 /main/U_SVEC1/cnx_slave_in
add wave -noupdate -group Svec1 /main/U_SVEC1/dcm0_clk_ref_0
add wave -noupdate -group Svec1 /main/U_SVEC1/dcm0_clk_ref_180
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_tdc_start
add wave -noupdate -group Svec1 /main/U_SVEC1/tdc0_data_out
add wave -noupdate -group Svec1 /main/U_SVEC1/tdc0_data_in
add wave -noupdate -group Svec1 /main/U_SVEC1/tdc0_data_oe
add wave -noupdate -group Svec1 /main/U_SVEC1/dcm1_clk_ref_0
add wave -noupdate -group Svec1 /main/U_SVEC1/dcm1_clk_ref_180
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_tdc_start
add wave -noupdate -group Svec1 /main/U_SVEC1/tdc1_data_out
add wave -noupdate -group Svec1 /main/U_SVEC1/tdc1_data_in
add wave -noupdate -group Svec1 /main/U_SVEC1/tdc1_data_oe
add wave -noupdate -group Svec1 /main/U_SVEC1/tm_link_up
add wave -noupdate -group Svec1 /main/U_SVEC1/tm_utc
add wave -noupdate -group Svec1 /main/U_SVEC1/tm_cycles
add wave -noupdate -group Svec1 /main/U_SVEC1/tm_time_valid
add wave -noupdate -group Svec1 /main/U_SVEC1/tm_clk_aux_lock_en
add wave -noupdate -group Svec1 /main/U_SVEC1/tm_clk_aux_locked
add wave -noupdate -group Svec1 /main/U_SVEC1/tm_dac_value
add wave -noupdate -group Svec1 /main/U_SVEC1/tm_dac_wr
add wave -noupdate -group Svec1 /main/U_SVEC1/ddr0_pll_reset
add wave -noupdate -group Svec1 /main/U_SVEC1/ddr0_pll_locked
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_pll_status
add wave -noupdate -group Svec1 /main/U_SVEC1/ddr1_pll_reset
add wave -noupdate -group Svec1 /main/U_SVEC1/ddr1_pll_locked
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_pll_status
add wave -noupdate -group Svec1 /main/U_SVEC1/wrc_scl_out
add wave -noupdate -group Svec1 /main/U_SVEC1/wrc_scl_in
add wave -noupdate -group Svec1 /main/U_SVEC1/wrc_sda_out
add wave -noupdate -group Svec1 /main/U_SVEC1/wrc_sda_in
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_scl_out
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_scl_in
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_sda_out
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_sda_in
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_scl_out
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_scl_in
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_sda_out
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_sda_in
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_scl_out
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_scl_in
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_sda_out
add wave -noupdate -group Svec1 /main/U_SVEC1/sfp_sda_in
add wave -noupdate -group Svec1 /main/U_SVEC1/wrc_owr_en
add wave -noupdate -group Svec1 /main/U_SVEC1/wrc_owr_in
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_owr_en
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_owr_in
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_owr_en
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_owr_in
add wave -noupdate -group Svec1 /main/U_SVEC1/fd0_irq
add wave -noupdate -group Svec1 /main/U_SVEC1/fd1_irq
add wave -noupdate -group Svec1 /main/U_SVEC1/pllout_clk_sys
add wave -noupdate -group Svec1 /main/U_SVEC1/pllout_clk_dmtd
add wave -noupdate -group Svec1 /main/U_SVEC1/pllout_clk_fb_pllref
add wave -noupdate -group Svec1 /main/U_SVEC1/pllout_clk_fb_dmtd
add wave -noupdate -group Svec1 /main/U_SVEC1/clk_20m_vcxo_buf
add wave -noupdate -group Svec1 /main/U_SVEC1/clk_125m_pllref
add wave -noupdate -group Svec1 /main/U_SVEC1/clk_125m_gtp
add wave -noupdate -group Svec1 /main/U_SVEC1/clk_sys
add wave -noupdate -group Svec1 /main/U_SVEC1/clk_dmtd
add wave -noupdate -group Svec1 /main/U_SVEC1/local_reset_n
add wave -noupdate -group Svec1 /main/U_SVEC1/vme_master_out
add wave -noupdate -group Svec1 /main/U_SVEC1/vme_master_in
add wave -noupdate -group Svec1 /main/U_SVEC1/pins
add wave -noupdate -group Svec1 /main/U_SVEC1/pps
add wave -noupdate -group Svec1 /main/U_SVEC1/vic_master_irq
add wave -noupdate -group Svec1 /main/U_SVEC1/etherbone_rst_n
add wave -noupdate -group Svec1 /main/U_SVEC1/etherbone_src_out
add wave -noupdate -group Svec1 /main/U_SVEC1/etherbone_src_in
add wave -noupdate -group Svec1 /main/U_SVEC1/etherbone_snk_out
add wave -noupdate -group Svec1 /main/U_SVEC1/etherbone_snk_in
add wave -noupdate -group Svec1 /main/U_SVEC1/etherbone_cfg_in
add wave -noupdate -group Svec1 /main/U_SVEC1/etherbone_cfg_out
add wave -noupdate -group Svec1 /main/U_SVEC1/powerup_reset_cnt
add wave -noupdate -group Svec1 /main/U_SVEC1/powerup_rst_n
add wave -noupdate -group Svec1 /main/U_SVEC1/sys_locked
add wave -noupdate -group Svec1 /main/U_SVEC1/led_state
add wave -noupdate -group Svec1 /main/U_SVEC1/pps_led
add wave -noupdate -group Svec1 /main/U_SVEC1/pps_ext
add wave -noupdate -group Svec1 /main/U_SVEC1/led_link
add wave -noupdate -group Svec1 /main/U_SVEC1/led_act
add wave -noupdate -group Svec1 /main/U_SVEC1/vme_access
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/clk_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/rst_n_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_AS_n_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_RST_n_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_WRITE_n_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_AM_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_DS_n_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_GA_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_BERR_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_DTACK_n_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_RETRY_n_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_LWORD_n_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_LWORD_n_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_ADDR_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_ADDR_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_DATA_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_DATA_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_IRQ_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_IACKIN_n_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_IACK_n_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_IACKOUT_n_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_DTACK_OE_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_DATA_DIR_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_DATA_OE_N_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_ADDR_DIR_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_ADDR_OE_N_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_RETRY_OE_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/DAT_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/DAT_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/ADR_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/CYC_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/ERR_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/RTY_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/SEL_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/STB_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/ACK_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/WE_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/STALL_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/INT_ack_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/IRQ_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/debug
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_CRAMdataOut
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_CRAMaddr
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_CRAMdataIn
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_CRAMwea
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_CRaddr
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_CRdata
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_RW
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_reset
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_IRQlevelReg
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_FIFOreset
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_VME_DATA_IRQ
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_VME_DATA_VMEbus
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_VME_DATA_b
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_fifo
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_VME_DTACK_VMEbus
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_VME_DTACK_IRQ
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_VME_DTACK_OE_VMEbus
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_VME_DTACK_OE_IRQ
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_VME_DATA_DIR_VMEbus
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_VME_DATA_DIR_IRQ
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_INT_Level
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_INT_Vector
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_VME_IRQ_n_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_reset_IRQ
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_CSRData_o
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_CSRData_i
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_CrCsrOffsetAddr
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_Ader0
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_Ader1
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_Ader2
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_Ader3
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_Ader4
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_Ader5
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_Ader6
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_Ader7
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_en_wr_CSR
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_err_flag
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_reset_flag
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_Sw_Reset
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_ModuleEnable
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_Endian
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_BAR
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_time
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_bytes
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_RST_n_oversampled
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_AS_n_oversampled
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_AS_n_oversampled1
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_WRITE_n_oversampled
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_DS_n_oversampled
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_DS_n_oversampled_1
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_GA_oversampled
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_IACK_n_oversampled
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/VME_IACKIN_n_oversampled
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_reg_1
add wave -noupdate -group Svec2 /main/U_SVEC2/U_VME_Core/U_Wrapped_VME/s_reg_2
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/clk_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/rst_n_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/reset_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_RST_n_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_AS_n_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_LWORD_n_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_LWORD_n_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_RETRY_n_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_RETRY_OE_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_WRITE_n_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_DS_n_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_DS_ant_n_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_DTACK_n_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_DTACK_OE_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_BERR_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_ADDR_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_ADDR_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_ADDR_DIR_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_ADDR_OE_N_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_DATA_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_DATA_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_DATA_DIR_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_DATA_OE_N_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_AM_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/VME_IACK_n_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/memReq_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/memAckWB_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/wbData_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/wbData_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/locAddr_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/wbSel_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/RW_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/cyc_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/err_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/rty_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/stall_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/CRAMaddr_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/CRAMdata_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/CRAMdata_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/CRAMwea_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/CRaddr_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/CRdata_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/en_wr_CSR
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/CrCsrOffsetAddr
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/CSRData_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/CSRData_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/err_flag_o
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/reset_flag_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Ader0
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Ader1
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Ader2
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Ader3
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Ader4
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Ader5
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Ader6
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Ader7
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/ModuleEnable
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Endian_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Sw_Reset
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/BAR_i
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/numBytes
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/transfTime
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/leds
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_reset
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_VMEaddrInput
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_VMEdataInput
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_LWORDinput
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_dtackOE
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_dataDir
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_dataOE
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_addrDir
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_addrOE
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_locDataIn
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_locDataOut
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_locData
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_locAddr
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_rel_locAddr
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_locAddr2e
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_locAddrBeforeOffset
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_phase1addr
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_phase2addr
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_phase3addr
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_addrOffset
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_CrCsrOffsetAddr
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_DataShift
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_2eLatchAddr
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_locDataSwap
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_locDataInSwap
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_locDataOutWb
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_VMEaddrLatched
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_LWORDlatched
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_DSlatched
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_AMlatched
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_XAM
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_typeOfDataTransfer
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_typeOfDataTransferSelect
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_addressingType
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_addressingTypeSelect
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_transferType
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_XAMtype
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_2eType
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_addrWidth
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_addrWidth1
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_mainFSMstate
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_FSM
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_dataToAddrBus
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_dataToOutput
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_mainDTACK
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_memAck
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_memAckCSR
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_memReq
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_VMEaddrLatch
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_DSlatch
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_incrementAddr
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_blockTransferLimit
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_mainFSMreset
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_dataPhase
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_transferActive
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_retry_out
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_berr
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_berr_1
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_berr_2
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_confAccess
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_cardSel
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_sel
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_nx_sel
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_RW
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_CRaddressed
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_CRAMaddressed
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_CSRaddressed
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_CSRdata
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_CRdataIn
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_CRAMdataIn
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_FUNC_ADEM
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_FUNC_AMCAP
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_FUNC_XAMCAP
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_BEG_USER_CSR
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_END_USER_CSR
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_BEG_USER_CR
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_END_USER_CR
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_BEG_CRAM
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_END_CRAM
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_BERRcondition
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_wberr1
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_rty1
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_initInProgress
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_initReadCounter
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_initReadCounter1
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_CRaddr
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_is_d64
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_base_addr
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_nx_base_addr
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_func_sel
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_VMEdata64In
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_counter
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_countcyc
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_BERR_out
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_errorflag
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_resetflag
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_led1
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_led2
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_led3
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_led4
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_led5
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_sw_reset
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_decode
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_AckWb
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_CRCSRtype
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_err
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_rty
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_countertime
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_time
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_counterbytes
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_bytes
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_datawidth
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_wbMaster_rst
add wave -noupdate -group Svec1-bus /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/s_num_latchDS
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Am
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/XAm
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/BAR_i
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/AddrWidth
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Funct_Sel
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Base_Addr
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Confaccess
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/CardSel
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/s_Func_Match
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/s_Am_Match
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/s_nx_base_addr
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/s_func_sel
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/s_DFS
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/clk_i
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/reset
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/mainFSMreset
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/Ader0
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/Ader1
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/Ader2
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/Ader3
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/Ader4
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/Ader5
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/Ader6
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/Ader7
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/AmCap0
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/AmCap1
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/AmCap2
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/AmCap3
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/AmCap4
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/AmCap5
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/AmCap6
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/AmCap7
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/XAmCap0
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/XAmCap1
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/XAmCap2
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/XAmCap3
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/XAmCap4
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/XAmCap5
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/XAmCap6
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/XAmCap7
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/Am
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/XAm
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/DFS_i
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/decode
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/AmMatch
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/s_FUNC_ADER
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/s_FUNC_AMCAP
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/s_FUNC_XAMCAP
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/s_amcap_match
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Am_Match/s_xamcap_match
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/clk_i
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/reset
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/decode
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/mainFSMreset
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Addr
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/AddrWidth
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Ader0
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Ader1
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Ader2
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Ader3
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Ader4
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Ader5
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Ader6
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Ader7
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Adem0
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Adem1
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Adem2
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Adem3
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Adem4
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Adem5
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Adem6
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Adem7
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/FunctMatch
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/DFS_o
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/Nx_Base_Addr
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/s_FUNC_ADER
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/s_FUNC_ADEM
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/s_FUNC_ADER_64
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/s_FUNC_ADEM_64
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/s_isprev_func64
add wave -noupdate -expand -group Svec1-decode /main/U_SVEC1/U_VME_Core/U_Wrapped_VME/Inst_VME_bus/Inst_Access_Decode/Inst_Funct_Match/s_locAddr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {58303800280 fs} 0}
configure wave -namecolwidth 183
configure wave -valuecolwidth 100
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {51818989840 fs} {68596205840 fs}
This source diff could not be displayed because it is too large. You can view the blob instead.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment