Commit 5444ee7a authored by David Cussans's avatar David Cussans

Tidied up logic_clocks_rtl.vhd:

* Removed unused code and signals.
* Changed way strobes are generated - use 40MHz clock as input. (Should be much more robust than previous mechanism)
Incremented version number to 23
parent ae0fe926
......@@ -51,7 +51,7 @@ use work.ipbus.ALL;
entity top is
generic(
constant FW_VERSION : unsigned(31 downto 0):= X"1e000022"; -- Firmware revision. Remember to change this as needed.
constant FW_VERSION : unsigned(31 downto 0):= X"1e000023"; -- Firmware revision. Remember to change this as needed.
g_NUM_DUTS : positive := 4; -- <- was 3
g_NUM_TRIG_INPUTS :positive := 6;-- <- was 4
g_NUM_EDGE_INPUTS :positive := 6;-- <-- was 4
......@@ -551,8 +551,8 @@ begin
DUT_clk_o => open,
logic_clocks_locked_o => leds(3),
logic_reset_o => logic_reset
);
);
----------------------------------------------
I5 : triggerInputs_newTLU
GENERIC MAP (
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment