Commit 86e360c4 authored by David Cussans's avatar David Cussans

Adding patch (diff) file to allow edit of IPBus file

parent a6ff8de9
diff --git a/boards/enclustra_ax3_pm3/base_fw/synth/firmware/hdl/enclustra_ax3_pm3_infra.vhd b/boards/enclustra_ax3_pm3/base_fw/synth/firmware/hdl/enclustra_ax3_pm3_infra.vhd
index acdda9a..efd0aa7 100644
--- a/boards/enclustra_ax3_pm3/base_fw/synth/firmware/hdl/enclustra_ax3_pm3_infra.vhd
+++ b/boards/enclustra_ax3_pm3/base_fw/synth/firmware/hdl/enclustra_ax3_pm3_infra.vhd
@@ -41,6 +41,7 @@ entity enclustra_ax3_pm3_infra is
clk_ipb_o: out std_logic; -- IPbus clock
rst_ipb_o: out std_logic;
clk125_o: out std_logic;
+ clk_200_o: out std_logic;
rst125_o: out std_logic;
clk_aux_o: out std_logic; -- 50MHz clock
rst_aux_o: out std_logic;
@@ -93,6 +94,7 @@ begin
rst_ipb_o <= rst_ipb;
clk125_o <= clk125;
rst125_o <= rst125;
+ clk_200_o<=clk200;
stretch: entity work.led_stretcher
generic map(
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment