Commit 98468998 authored by David Cussans's avatar David Cussans

Don't need to set priority of *.xdc file now it is changed to tcl file

parent a74e93c1
......@@ -31,13 +31,13 @@ echo "BUILD: creating VIVADO project"
ipbb vivado project
echo "BUILD: creating IPBus address decoder"
ipbb sim gendecoders
ipbb vivado gendecoders
echo "Copying generated decoder into source tree"
cp decoders/ipbus_decode_TLUaddrmap.vhd ../../src/fmc-mtlu-gw/AIDA_tlu/projects/TLU_v1e/firmware/hdl/ipbus_decode_TLUaddrmap.vhd
# Not needed if we rename *.xdc to *.tcl ...
# Set TLU timing contraints *.xdc file to have "late" processing order
#vivado -mode tcl -nojournal -nolog -notrace -source ../../src/fmc-mtlu-gw/AIDA_tlu/projects/TLU_v1e/firmware/ucf/TLU_enclustra_v1e_setProcessingOrder.tcl TLU_1e/TLU_1e.xpr
# vivado -mode tcl -nojournal -nolog -notrace -source ../../src/fmc-mtlu-gw/AIDA_tlu/projects/TLU_v1e/firmware/ucf/TLU_enclustra_v1e_setProcessingOrder.tcl top/top.xpr
echo "BUILD: ipbb impl"
ipbb vivado impl
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment