Commit 3d4b4ed5 authored by David Cussans's avatar David Cussans

Checking in schematics for LVDS on HDMI to TTL on Lemo

parent d04152fc
{ Machine generated file created by SPI }
{ Last modified was 15:38:47 Tuesday, June 19, 2018 }
{ Last modified was 08:50:49 Wednesday, June 20, 2018 }
{ NOTE: Do not modify the contents of this file. If this is regenerated by }
{ SPI, your modifications will be overwritten. }
......
......@@ -1072,11 +1072,6 @@ DISPLAY INVISIBLE (-175 -2800);
FORCEADD CAPCERSMDCL2..1
R 1
(-225 -3550);
FORCEPROP 1 LAST VOLTAGE 10V_GEN
R 1
J 1
(-75 -3550);
DISPLAY 0.723404 (-75 -3550);
FORCEPROP 1 LAST $LOCATION C1
R 1
J 0
......@@ -1087,6 +1082,11 @@ R 1
J 1
(-125 -3550);
DISPLAY 0.723404 (-125 -3550);
FORCEPROP 1 LAST VOLTAGE 10V_GEN
R 1
J 1
(-75 -3550);
DISPLAY 0.723404 (-75 -3550);
FORCEPROP 1 LAST PATH I134
R 1
J 0
......@@ -2260,10 +2260,6 @@ PAINT MONO (1885 -1090);
DISPLAY INVISIBLE (1885 -1090);
FORCEADD CON5P_USB..1
(1300 -3150);
FORCEPROP 0 LAST POWER_GROUP SHIELD=USB_SHIELD
J 0
(975 -2875);
DISPLAY 1.021277 (975 -2875);
FORCEPROP 1 LAST $LOCATION USB1
J 1
(1315 -2940);
......@@ -2274,6 +2270,10 @@ J 1
(1315 -2985);
DISPLAY 0.723404 (1315 -2985);
PAINT GREEN (1315 -2985);
FORCEPROP 0 LAST POWER_GROUP SHIELD=USB_SHIELD
J 0
(975 -2875);
DISPLAY 1.021277 (975 -2875);
FORCEPROP 2 LAST CDS_LIB cnconnector
J 0
(1300 -3150);
......@@ -3365,11 +3365,6 @@ DISPLAY INVISIBLE (1975 -3400);
FORCEADD CAPCERSMDCL2..1
R 1
(1650 -3250);
FORCEPROP 1 LAST $LOCATION C2
R 1
J 0
(1600 -3300);
DISPLAY 0.723404 (1600 -3300);
FORCEPROP 1 LAST VALUE 10UF
R 1
J 1
......@@ -3380,6 +3375,11 @@ R 1
J 1
(1800 -3250);
DISPLAY 0.723404 (1800 -3250);
FORCEPROP 1 LAST $LOCATION C2
R 1
J 0
(1600 -3300);
DISPLAY 0.723404 (1600 -3300);
FORCEPROP 1 LASTPIN (1650 -3150) $PN 2
R 1
J 0
......@@ -3721,6 +3721,10 @@ J 0
DISPLAY 0.659574 (1035 -3740);
PAINT MONO (1035 -3740);
DISPLAY INVISIBLE (1035 -3740);
FORCEPROP 2 LAST PATH I203
J 0
(725 -3775);
DISPLAY 1.021277 (725 -3775);
FORCEPROP 2 LAST CDS_LIB standard
J 0
(975 -3800);
......@@ -3735,13 +3739,24 @@ J 0
(975 -3650);
DISPLAY 0.978723 (975 -3650);
DISPLAY INVISIBLE (975 -3650);
FORCEPROP 2 LAST PATH I203
J 0
(725 -3775);
DISPLAY 1.021277 (725 -3775);
FORCEADD CAPCERSMDCL2..1
R 1
(950 -3600);
FORCEPROP 1 LAST VOLTAGE 16V
R 1
J 1
(800 -3600);
DISPLAY 0.723404 (800 -3600);
FORCEPROP 1 LAST VALUE 100NF
R 1
J 1
(850 -3600);
DISPLAY 0.723404 (850 -3600);
FORCEPROP 1 LAST $LOCATION C4
R 1
J 0
(900 -3625);
DISPLAY 0.723404 (900 -3625);
FORCEPROP 1 LAST PACK_TYPE 0603
R 1
J 1
......@@ -3765,16 +3780,6 @@ J 0
DISPLAY 0.702128 (1020 -3620);
PAINT WHITE (1020 -3620);
DISPLAY INVISIBLE (1020 -3620);
FORCEPROP 1 LAST VOLTAGE 16V
R 1
J 1
(800 -3600);
DISPLAY 0.723404 (800 -3600);
FORCEPROP 1 LAST VALUE 100NF
R 1
J 1
(850 -3600);
DISPLAY 0.723404 (850 -3600);
FORCEPROP 1 LASTPIN (950 -3500) $PN 2
R 1
J 0
......@@ -3789,14 +3794,27 @@ J 2
DISPLAY 0.723404 (925 -3700);
PAINT MONO (925 -3700);
DISPLAY INVISIBLE (925 -3700);
FORCEPROP 1 LAST $LOCATION C4
R 1
J 0
(900 -3625);
DISPLAY 0.723404 (900 -3625);
FORCEADD RSMD0603..1
R 1
(1150 -3575);
FORCEPROP 1 LAST TOL 1%
R 1
J 1
(1210 -3570);
DISPLAY 0.617021 (1210 -3570);
PAINT WHITE (1210 -3570);
FORCEPROP 1 LAST VALUE 100
R 1
J 1
(1117 -3570);
DISPLAY 0.617021 (1117 -3570);
PAINT GREEN (1117 -3570);
FORCEPROP 1 LAST $LOCATION R13
R 1
J 1
(1078 -3570);
DISPLAY 0.617021 (1078 -3570);
PAINT GREEN (1078 -3570);
FORCEPROP 1 LAST PACK_TYPE 1/10W
R 1
J 0
......@@ -3892,24 +3910,6 @@ J 0
DISPLAY 0.340426 (1428 -3665);
PAINT GREEN (1428 -3665);
DISPLAY INVISIBLE (1428 -3665);
FORCEPROP 1 LAST TOL 1%
R 1
J 1
(1210 -3570);
DISPLAY 0.617021 (1210 -3570);
PAINT WHITE (1210 -3570);
FORCEPROP 1 LAST VALUE 100
R 1
J 1
(1117 -3570);
DISPLAY 0.617021 (1117 -3570);
PAINT GREEN (1117 -3570);
FORCEPROP 1 LAST $LOCATION R13
R 1
J 1
(1078 -3570);
DISPLAY 0.617021 (1078 -3570);
PAINT GREEN (1078 -3570);
FORCEADD GND_SIGNAL..1
(-1350 50);
FORCEPROP 3 LASTPIN (-1300 100) SIG_NAME GND_SIGNAL\g
......@@ -4618,7 +4618,7 @@ J 0
DISPLAY INVISIBLE (1425 -1100);
FORCEADD A3-2000..1
(1500 350);
FORCEPROP 0 LAST CDS_CON_LAST_MODIFIED Tue Jun 5 09:25:12 2018
FORCEPROP 0 LAST CDS_CON_LAST_MODIFIED Wed Jun 20 08:50:15 2018
J 0
(3250 -2450);
DISPLAY INVISIBLE (3250 -2450);
......@@ -4651,70 +4651,87 @@ FORCEPROP 2 LAST CDS_LIB bris_cds_standard
J 0
(1500 350);
DISPLAY INVISIBLE (1500 350);
WIRE 16 -1 (4500 -2075)(4500 -2125);
WIRE 16 -1 (4500 -2075)(4350 -2075);
WIRE 16 -1 (1925 -2450)(1925 -2500);
WIRE 16 -1 (1925 -2450)(1775 -2450);
WIRE 16 -1 (-1000 -1975)(-1000 -2025);
WIRE 16 -1 (-1200 -1975)(-1200 -1925);
WIRE 16 -1 (-1000 -2350)(-1000 -2400);
WIRE 16 -1 (-1200 -2350)(-1200 -2300);
WIRE 16 -1 (-1000 -2725)(-1000 -2775);
WIRE 16 -1 (-1200 -2725)(-1200 -2675);
WIRE 16 -1 (-1000 -3100)(-1000 -3150);
WIRE 16 -1 (-1200 -3100)(-1200 -3050);
WIRE 16 -1 (-225 -3150)(-225 -2850);
WIRE 16 -1 (-225 -3450)(-225 -3150);
WIRE 16 -1 (-100 -3150)(-225 -3150);
WIRE 16 -1 (-225 -3725)(-225 -3650);
WIRE 16 -1 (4925 725)(4925 650);
WIRE 16 -1 (4825 725)(4925 725);
WIRE 16 -1 (4925 875)(4925 775);
WIRE 16 -1 (825 -475)(600 -475);
WIRE 16 -1 (3425 -1075)(3200 -1075);
WIRE 16 -1 (3425 -2075)(3200 -2075);
WIRE 16 -1 (850 -1450)(625 -1450);
WIRE 16 -1 (825 -2450)(600 -2450);
WIRE 16 -1 (3000 -375)(3000 -275);
WIRE 16 -1 (5575 -975)(5575 -875);
WIRE 16 -1 (5575 -1975)(5575 -1875);
WIRE 16 -1 (2650 -2325)(2650 -2250);
WIRE 16 -1 (3000 -1350)(3000 -1250);
WIRE 16 -1 (3975 775)(3975 875);
WIRE 16 -1 (3975 375)(3975 325);
WIRE 16 -1 (2025 -3400)(2025 -3200);
WIRE 16 -1 (1650 -3400)(1650 -3350);
WIRE 16 -1 (2375 -3425)(2375 -3350);
WIRE 16 -1 (1925 -1450)(1925 -1500);
WIRE 16 -1 (1775 -1450)(1925 -1450);
WIRE 16 -1 (4500 -1075)(4500 -1125);
WIRE 16 -1 (4500 -1075)(4350 -1075);
WIRE 16 -1 (1925 -475)(1925 -525);
WIRE 16 -1 (1925 -475)(1775 -475);
WIRE 16 -1 (-1200 -3475)(-1200 -3425);
WIRE 16 -1 (-1000 -3475)(-1000 -3525);
WIRE 16 -1 (-1300 200)(-1300 100);
WIRE 16 -1 (-1300 350)(-1300 200);
WIRE 16 -1 (-650 200)(-1300 200);
WIRE 16 -1 (-1000 -3475)(-1000 -3525);
WIRE 16 -1 (-1200 -3475)(-1200 -3425);
WIRE 16 -1 (1925 -475)(1925 -525);
WIRE 16 -1 (1925 -475)(1775 -475);
WIRE 16 -1 (4500 -1075)(4500 -1125);
WIRE 16 -1 (4500 -1075)(4350 -1075);
WIRE 16 -1 (1925 -1450)(1925 -1500);
WIRE 16 -1 (1775 -1450)(1925 -1450);
WIRE 16 -1 (1450 -3250)(1450 -3425);
WIRE 16 -1 (1025 -3750)(950 -3750);
WIRE 16 -1 (1150 -3750)(1025 -3750);
WIRE 16 -1 (2375 -3425)(2375 -3350);
WIRE 16 -1 (1650 -3400)(1650 -3350);
WIRE 16 -1 (2025 -3400)(2025 -3200);
WIRE 16 -1 (1450 -3250)(1450 -3425);
WIRE 16 -1 (3975 375)(3975 325);
WIRE 16 -1 (3975 775)(3975 875);
WIRE 16 -1 (3000 -1350)(3000 -1250);
WIRE 16 -1 (2650 -2325)(2650 -2250);
WIRE 16 -1 (5575 -1975)(5575 -1875);
WIRE 16 -1 (5575 -975)(5575 -875);
WIRE 16 -1 (3000 -375)(3000 -275);
WIRE 16 -1 (825 -2450)(600 -2450);
WIRE 16 -1 (850 -1450)(625 -1450);
WIRE 16 -1 (3425 -2075)(3200 -2075);
WIRE 16 -1 (3425 -1075)(3200 -1075);
WIRE 16 -1 (825 -475)(600 -475);
WIRE 16 -1 (4925 875)(4925 775);
WIRE 16 -1 (4925 725)(4925 650);
WIRE 16 -1 (4825 725)(4925 725);
WIRE 16 -1 (-225 -3725)(-225 -3650);
WIRE 16 -1 (-225 -3150)(-225 -2850);
WIRE 16 -1 (-100 -3150)(-225 -3150);
WIRE 16 -1 (-225 -3450)(-225 -3150);
WIRE 16 -1 (-1200 -3100)(-1200 -3050);
WIRE 16 -1 (-1000 -3100)(-1000 -3150);
WIRE 16 -1 (-1200 -2725)(-1200 -2675);
WIRE 16 -1 (-1000 -2725)(-1000 -2775);
WIRE 16 -1 (-1200 -2350)(-1200 -2300);
WIRE 16 -1 (-1000 -2350)(-1000 -2400);
WIRE 16 -1 (-1200 -1975)(-1200 -1925);
WIRE 16 -1 (-1000 -1975)(-1000 -2025);
WIRE 16 -1 (1925 -2450)(1925 -2500);
WIRE 16 -1 (1925 -2450)(1775 -2450);
WIRE 16 -1 (4500 -2075)(4500 -2125);
WIRE 16 -1 (4500 -2075)(4350 -2075);
WIRE 16 -1 (4925 775)(4825 775);
WIRE 16 -1 (1150 -3675)(1150 -3750);
WIRE 16 -1 (1025 -3750)(950 -3750);
WIRE 16 -1 (950 -3750)(950 -3700);
WIRE 16 -1 (-400 450)(-200 450);
WIRE 16 -1 (-200 450)(-200 600);
WIRE 16 -1 (-400 600)(-200 600);
WIRE 16 -1 (-200 600)(-200 750);
WIRE 16 -1 (-200 750)(-1300 750);
WIRE 16 -1 (-1300 750)(-1300 500);
WIRE 16 -1 (-1300 500)(-650 500);
WIRE 16 -1 (-1300 350)(-650 350);
WIRE 16 -1 (-1300 500)(-1300 350);
WIRE 16 -1 (2375 -3150)(2375 -3000);
WIRE 16 -1 (2375 -3000)(2800 -3000);
WIRE 16 -1 (2275 -3000)(2375 -3000);
FORCEPROP 2 LAST SIG_NAME USB_POWER
J 0
(2340 -2990);
DISPLAY 1.021277 (2340 -2990);
WIRE 16 -1 (1150 -3450)(1150 -3475);
WIRE 16 -1 (950 -3500)(950 -3450);
WIRE 16 -1 (950 -3450)(1150 -3450);
FORCEPROP 2 LAST SIG_NAME USB_SHIELD
J 0
(765 -3415);
DISPLAY 1.021277 (765 -3415);
WIRE 16 -1 (1150 -3450)(1150 -3475);
WIRE 16 -1 (-100 -3100)(-150 -3100);
WIRE 16 -1 (-150 -3100)(-150 -2925);
WIRE 16 -1 (875 -2925)(-150 -2925);
FORCEPROP 2 LAST SIG_NAME HDMI_CABLE_POWER
J 0
(115 -2915);
DISPLAY 1.021277 (115 -2915);
WIRE 16 -1 (-100 -3100)(-150 -3100);
WIRE 16 -1 (-150 -3100)(-150 -2925);
WIRE 16 -1 (-100 -3200)(-150 -3200);
WIRE 16 -1 (-150 -3200)(-150 -3325);
WIRE 16 -1 (875 -3325)(-150 -3325);
......@@ -4722,16 +4739,6 @@ FORCEPROP 2 LAST SIG_NAME USB_POWER
J 0
(415 -3315);
DISPLAY 1.021277 (415 -3315);
WIRE 16 -1 (4925 775)(4825 775);
WIRE 16 -1 (-400 450)(-200 450);
WIRE 16 -1 (-200 450)(-200 600);
WIRE 16 -1 (-400 600)(-200 600);
WIRE 16 -1 (-200 600)(-200 750);
WIRE 16 -1 (-200 750)(-1300 750);
WIRE 16 -1 (-1300 350)(-650 350);
WIRE 16 -1 (-1300 500)(-1300 350);
WIRE 16 -1 (-1300 750)(-1300 500);
WIRE 16 -1 (-1300 500)(-650 500);
WIRE 16 -1 (950 -75)(1125 -75);
WIRE 16 -1 (1675 -225)(1725 -225);
WIRE 16 -1 (1725 -225)(1725 -325);
......@@ -4874,19 +4881,12 @@ J 0
DISPLAY 1.021277 (2565 -1190);
WIRE 16 -1 (2300 -1325)(1025 -1325);
WIRE 16 -1 (2300 -1325)(2300 -1200);
WIRE 16 -1 (2375 -3150)(2375 -3000);
WIRE 16 -1 (2375 -3000)(2800 -3000);
WIRE 16 -1 (2275 -3000)(2375 -3000);
FORCEPROP 2 LAST SIG_NAME USB_POWER
J 0
(2340 -2990);
DISPLAY 1.021277 (2340 -2990);
WIRE 16 -1 (1775 -3000)(1700 -3000);
WIRE 16 -1 (1775 -3050)(1700 -3050);
WIRE 16 -1 (1700 -3000)(1700 -3050);
WIRE 16 -1 (1450 -3050)(1650 -3050);
WIRE 16 -1 (1700 -3050)(1650 -3050);
WIRE 16 -1 (1650 -3150)(1650 -3050);
WIRE 16 -1 (1775 -3050)(1700 -3050);
WIRE 16 -1 (1700 -3050)(1650 -3050);
WIRE 16 -1 (1700 -3000)(1700 -3050);
WIRE 16 -1 (1775 -3000)(1700 -3000);
WIRE 16 -1 (600 -2075)(550 -2075);
WIRE 16 -1 (550 -2200)(-350 -2200);
FORCEPROP 2 LAST SIG_NAME TRIG*
......@@ -4912,8 +4912,8 @@ R 1
J 0
(-360 -165);
DISPLAY 1.021277 (-360 -165);
WIRE 16 -1 (-700 -175)(-700 250);
WIRE 16 -1 (-650 250)(-700 250);
WIRE 16 -1 (-700 -175)(-700 250);
WIRE 16 -1 (-400 550)(-100 550);
WIRE 16 -1 (-100 -175)(-100 550);
FORCEPROP 2 LAST SIG_NAME CONT
......@@ -4922,6 +4922,7 @@ J 0
(-110 -90);
DISPLAY 1.021277 (-110 -90);
WIRE 16 -1 (3525 -675)(3700 -675);
WIRE 16 -1 (4250 -825)(4300 -825);
WIRE 16 -1 (3525 -925)(3525 -675);
WIRE 16 -1 (3625 -1075)(4000 -1075);
WIRE 16 -1 (4150 -1075)(4000 -1075);
......@@ -4931,9 +4932,8 @@ J 0
(3615 -1140);
DISPLAY 1.021277 (3615 -1140);
WIRE 16 -1 (4000 -925)(3525 -925);
WIRE 16 -1 (4300 -925)(4000 -925);
WIRE 16 -1 (4250 -825)(4300 -825);
WIRE 16 -1 (4300 -825)(4300 -925);
WIRE 16 -1 (4300 -925)(4000 -925);
WIRE 16 -1 (3525 -1675)(3700 -1675);
WIRE 16 -1 (4250 -1825)(4300 -1825);
WIRE 16 -1 (4300 -1825)(4300 -1925);
......@@ -5010,79 +5010,74 @@ WIRE 16 -1 (3700 -1775)(3475 -1775);
WIRE 16 -1 (1875 -1100)(1675 -1100);
WIRE 16 -1 (4450 -1725)(4250 -1725);
WIRE 16 -1 (4450 -725)(4250 -725);
DOT 1 (1700 -3050);
DOT 1 (1425 -325);
DOT 1 (200 -175);
DOT 1 (3150 -825);
DOT 1 (850 -2150);
DOT 1 (3175 -1825);
DOT 1 (600 -1200);
DOT 1 (4000 -925);
DOT 1 (2300 -225);
DOT 1 (1425 -1300);
DOT 1 (4875 -1825);
DOT 1 (4000 -1925);
DOT 1 (2300 -2200);
DOT 1 (1425 -2300);
DOT 1 (2300 -1200);
DOT 1 (4875 -825);
DOT 1 (-1300 350);
DOT 1 (-1300 500);
DOT 1 (900 -1150);
DOT 1 (-1300 200);
DOT 1 (900 -175);
DOT 1 (-200 600);
DOT 1 (3475 -1775);
DOT 1 (3450 -775);
DOT 1 (150 -225);
DOT 1 (1425 -475);
DOT 1 (4000 -1075);
DOT 1 (4000 -2075);
DOT 1 (1425 -1450);
DOT 1 (1425 -2450);
DOT 1 (600 -225);
DOT 1 (550 -2200);
DOT 1 (-225 -3150);
DOT 1 (1650 -3050);
DOT 1 (2375 -3000);
DOT 1 (1650 -3050);
DOT 1 (-225 -3150);
DOT 1 (550 -2200);
DOT 1 (600 -225);
DOT 1 (1425 -2450);
DOT 1 (1425 -1450);
DOT 1 (4000 -2075);
DOT 1 (4000 -1075);
DOT 1 (1425 -475);
DOT 1 (150 -225);
DOT 1 (3450 -775);
DOT 1 (3475 -1775);
DOT 1 (-200 600);
DOT 1 (900 -175);
DOT 1 (-1300 200);
DOT 1 (900 -1150);
DOT 1 (-1300 500);
DOT 1 (-1300 350);
DOT 1 (4875 -825);
DOT 1 (2300 -1200);
DOT 1 (1425 -2300);
DOT 1 (2300 -2200);
DOT 1 (4000 -1925);
DOT 1 (4875 -1825);
DOT 1 (1425 -1300);
DOT 1 (2300 -225);
DOT 1 (4000 -925);
DOT 1 (600 -1200);
DOT 1 (3175 -1825);
DOT 1 (850 -2150);
DOT 1 (3150 -825);
DOT 1 (200 -175);
DOT 1 (1425 -325);
DOT 1 (1700 -3050);
FORCENOTE
CONVERTS LVDS ON HDMI TO TTL LEMO
(3375 -3475) 0;
DISPLAY LEFT (3375 -3475);
DISPLAY 1.276596 (3375 -3475);
FORCENOTE
LICENSED UNDER THE TAPR OPEN HARDWARE LICENSE (WWW.TAPR.ORG/OHL)
(4100 -3600) 0;
DISPLAY LEFT (4100 -3600);
DISPLAY 0.808511 (4100 -3600);
FORCENOTE
11
18
(6300 -2850) 0;
DISPLAY LEFT (6300 -2850);
DISPLAY 1.021277 (6300 -2850);
FORCENOTE
2.54MM CONNECTOR
(5100 800) 0;
DISPLAY LEFT (5100 800);
DISPLAY 1.021277 (5100 800);
FORCENOTE
TO MONITOR OR SUPPLY POWER
(5100 725) 0;
DISPLAY LEFT (5100 725);
DISPLAY 1.021277 (5100 725);
( ALL TRANSCIEVERS SET TO RECEIVE TTL AND TRANSMIT LVDS)
(2600 1150) 0;
DISPLAY LEFT (2600 1150);
DISPLAY 1.021277 (2600 1150);
FORCENOTE
MAXIMUM SUPPLY CURRENT = 110MA
(1100 1150) 0;
DISPLAY LEFT (1100 1150);
DISPLAY 1.021277 (1100 1150);
FORCENOTE
FRONT PANEL CONNECTORS
(4950 -3250) 0;
DISPLAY LEFT (4950 -3250);
DISPLAY 1.276596 (4950 -3250);
FORCENOTE
2 X MINI-HDMI , 1 X RJ45
(4950 -3450) 0;
DISPLAY LEFT (4950 -3450);
DISPLAY 1.276596 (4950 -3450);
FORCENOTE
LICENSED UNDER THE TAPR OPEN HARDWARE LICENSE (WWW.TAPR.ORG/OHL)
(4100 -3600) 0;
DISPLAY LEFT (4100 -3600);
DISPLAY 0.808511 (4100 -3600);
TO MONITOR OR SUPPLY POWER
(5100 725) 0;
DISPLAY LEFT (5100 725);
DISPLAY 1.021277 (5100 725);
FORCENOTE
( ALL TRANSCIEVERS SET TO RECEIVE TTL AND TRANSMIT LVDS)
(2600 1150) 0;
DISPLAY LEFT (2600 1150);
DISPLAY 1.021277 (2600 1150);
2.54MM CONNECTOR
(5100 800) 0;
DISPLAY LEFT (5100 800);
DISPLAY 1.021277 (5100 800);
QUIT
......@@ -2,63 +2,63 @@ FILE_TYPE = CONNECTIVITY;
{Allegro Design Entry HDL 17.2-2016 S028 (3668086) 10/9/2017}
"PAGE_NUMBER" = 1;
0"NC";
1"P3V3\g";
1"GND_SIGNAL\g";
2"GND_SIGNAL\g";
3"GND_SIGNAL\g";
4"USB_SHIELD";
5"HDMI_CABLE_POWER";
6"GND_SIGNAL\g";
4"P3V3\g";
5"GND_SIGNAL\g";
6"P3V3\g";
7"GND_SIGNAL\g";
8"GND_SIGNAL\g";
9"P3V3\g";
10"GND_SIGNAL\g";
11"GND_SIGNAL\g";
8"P3V3\g";
9"GND_SIGNAL\g";
10"P3V3\g";
11"P3V3\g";
12"GND_SIGNAL\g";
13"GND_SIGNAL\g";
14"GND_SIGNAL\g";
14"P3V3\g";
15"P3V3\g";
16"GND_SIGNAL\g";
17"GND_SIGNAL\g";
18"GND_SIGNAL\g";
16"P3V3\g";
17"P3V3\g";
18"P3V3\g";
19"GND_SIGNAL\g";
20"GND_SIGNAL\g";
21"P3V3\g";
22"P3V3\g";
21"GND_SIGNAL\g";
22"GND_SIGNAL\g";
23"P3V3\g";
24"P3V3\g";
25"P3V3\g";
24"GND_SIGNAL\g";
25"GND_SIGNAL\g";
26"GND_SIGNAL\g";
27"GND_SIGNAL\g";
28"P3V3\g";
28"GND_SIGNAL\g";
29"GND_SIGNAL\g";
30"P3V3\g";
30"GND_SIGNAL\g";
31"GND_SIGNAL\g";
32"P3V3\g";
33"GND_SIGNAL\g";
34"P3V3\g";
34"GND_SIGNAL\g";
35"GND_SIGNAL\g";
36"GND_SIGNAL\g";
36"P3V3\g";
37"GND_SIGNAL\g";
38"USB_POWER";
39"P3V3\g";
40"GND_SIGNAL\g";
41"SPARE_TX_LVDS";
42"SPARE*";
43"BUSY";
44"TRIG";
45"TTL_TRIG";
46"TRIG_TX_LVDS";
47"UN$1$RSMD0603$I108$A";
48"TTL_SPARE";
49"CLK*";
50"HDMI_CABLE_POWER";
51"CLK*";
52"CLK";
53"BUSY*";
54"SPARE";
55"BUSY_TX_LVDS";
56"TTL_BUSY";
57"USB_POWER";
39"USB_SHIELD";
40"HDMI_CABLE_POWER";
41"USB_POWER";
42"SPARE_TX_LVDS";
43"SPARE*";
44"BUSY";
45"TRIG";
46"TTL_TRIG";
47"TRIG_TX_LVDS";
48"UN$1$RSMD0603$I108$A";
49"TTL_SPARE";
50"CLK*";
51"HDMI_CABLE_POWER";
52"CLK*";
53"CLK";
54"BUSY*";
55"SPARE";
56"BUSY_TX_LVDS";
57"TTL_BUSY";
58"UN$1$CAPCERSMDCL2$I197$B";
59"TRIG*";
60"BUSY*";
......@@ -128,7 +128,7 @@ MAX_TEMP"RTMAX"
TC1"RTMPL"
TC2"RTMPQ";
"B <SIZE-1..0>\NAC"
$PN"2"37;
$PN"2"1;
"A <SIZE-1..0>\NAC"
$PN"1"67;
%"GND_SIGNAL"
......@@ -137,7 +137,7 @@ $PN"1"67;
CDS_LIB"standard"
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL";
"GND"37;
"GND"1;
%"SN65MLVD204"
"1","(4000,-1725)","0","cninterface","I105";
;
......@@ -184,9 +184,9 @@ TC2"RTMPQ"
CDS_LIB"cnpassive"
PACK_TYPE"1/10W";
"B <SIZE-1..0>\NAC"
$PN"2"45;
$PN"2"46;
"A <SIZE-1..0>\NAC"
$PN"1"47;
$PN"1"48;
%"RSMD0603"
"1","(1675,-2450)","0","cnpassive","I110";
;
......@@ -210,16 +210,16 @@ MAX_TEMP"RTMAX"
TC1"RTMPL"
TC2"RTMPQ";
"B <SIZE-1..0>\NAC"
$PN"2"36;
$PN"2"2;
"A <SIZE-1..0>\NAC"
$PN"1"46;
$PN"1"47;
%"GND_SIGNAL"
"1","(1875,-2550)","0","standard","I111";
;
CDS_LIB"standard"
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL";
"GND"36;
"GND"2;
%"SN65MLVD204"
"1","(1425,-2100)","0","cninterface","I112";
;
......@@ -232,24 +232,24 @@ POWER_GROUP"GND=GND_SIGNAL;VCC=P3V3"
CDS_LIB"cninterface"
NEEDS_NO_SIZE"TRUE";
"A"
$PN"6"44;
$PN"6"45;
"D"
$PN"4"45;
$PN"4"46;
"DE"
$PN"3"46;
$PN"3"47;
"B"
$PN"7"59;
"R"
$PN"1"47;
$PN"1"48;
"RE* \B"
$PN"2"46;
$PN"2"47;
%"GND_SIGNAL"
"1","(-1050,-2075)","0","standard","I116";
;
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL"
CDS_LIB"standard";
"GND"35;
"GND"3;
%"CAPCERSMDCL2"
"1","(-1100,-1975)","0","cnpassive","I117";
;
......@@ -263,9 +263,9 @@ PACK_TYPE"0603"
$SEC"1"
CDS_SEC"1";
"A <SIZE-1..0>\NAC"
$PN"1"34;
$PN"1"4;
"B <SIZE-1..0>\NAC"
$PN"2"35;
$PN"2"3;
%"P3V3"
"1","(-1200,-1875)","0","cnpower","I118";
;
......@@ -274,14 +274,14 @@ SIZE"1B"
BODY_TYPE"PLUMBING"
CDS_LIB"cnpower";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"34;
VHDL_INIT"1"4;
%"GND_SIGNAL"
"1","(-1050,-2450)","0","standard","I119";
;
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL"
CDS_LIB"standard";
"GND"33;
"GND"5;
%"CAPCERSMDCL2"
"1","(-1100,-2350)","0","cnpassive","I120";
;
......@@ -295,9 +295,9 @@ PACK_TYPE"0603"
CDS_LIB"cnpassive"
SIZE"1";
"A <SIZE-1..0>\NAC"
$PN"1"32;
$PN"1"6;
"B <SIZE-1..0>\NAC"
$PN"2"33;
$PN"2"5;
%"P3V3"
"1","(-1200,-2250)","0","cnpower","I121";
;
......@@ -306,14 +306,14 @@ SIZE"1B"
BODY_TYPE"PLUMBING"
CDS_LIB"cnpower";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"32;
VHDL_INIT"1"6;
%"GND_SIGNAL"
"1","(-1050,-2825)","0","standard","I122";
;
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL"
CDS_LIB"standard";
"GND"31;
"GND"7;
%"CAPCERSMDCL2"
"1","(-1100,-2725)","0","cnpassive","I123";
;
......@@ -327,9 +327,9 @@ PACK_TYPE"0603"
CDS_LIB"cnpassive"
SIZE"1";
"A <SIZE-1..0>\NAC"
$PN"1"30;
$PN"1"8;
"B <SIZE-1..0>\NAC"
$PN"2"31;
$PN"2"7;
%"P3V3"
"1","(-1200,-2625)","0","cnpower","I124";
;
......@@ -338,14 +338,14 @@ SIZE"1B"
BODY_TYPE"PLUMBING"
CDS_LIB"cnpower";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"30;
VHDL_INIT"1"8;
%"GND_SIGNAL"
"1","(-1050,-3200)","0","standard","I125";
;
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL"
CDS_LIB"standard";
"GND"29;
"GND"9;
%"CAPCERSMDCL2"
"1","(-1100,-3100)","0","cnpassive","I126";
;
......@@ -359,9 +359,9 @@ PACK_TYPE"0603"
CDS_LIB"cnpassive"
SIZE"1";
"A <SIZE-1..0>\NAC"
$PN"1"28;
$PN"1"10;
"B <SIZE-1..0>\NAC"
$PN"2"29;
$PN"2"9;
%"P3V3"
"1","(-1200,-3000)","0","cnpower","I127";
;
......@@ -370,7 +370,7 @@ SIZE"1B"
BODY_TYPE"PLUMBING"
CDS_LIB"cnpower";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"28;
VHDL_INIT"1"10;
%"P3V3"
"1","(-225,-2800)","0","cnpower","I131";
;
......@@ -379,30 +379,30 @@ CDS_LIB"cnpower"
BODY_TYPE"PLUMBING"
SIZE"1B";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"1;
VHDL_INIT"1"11;
%"CAPCERSMDCL2"
"1","(-225,-3550)","1","cnpassive","I134";
;
CDS_LOCATION"C1"
CDS_SEC"1"
$SEC"1"
VOLTAGE"10V_GEN"
$LOCATION"C1"
VALUE"10UF"
VOLTAGE"10V_GEN"
SIZE"1"
CDS_LIB"cnpassive"
PACK_TYPE"1210";
"A <SIZE-1..0>\NAC"
$PN"1"27;
$PN"1"12;
"B <SIZE-1..0>\NAC"
$PN"2"1;
$PN"2"11;
%"GND_SIGNAL"
"1","(-275,-3775)","0","standard","I135";
;
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL"
CDS_LIB"standard";
"GND"27;
"GND"12;
%"RSMD0603"
"1","(750,-100)","0","cnpassive","I137";
;
......@@ -426,9 +426,9 @@ SIZE"1B"
CDS_LIB"cnpassive"
PACK_TYPE"1/10W";
"B <SIZE-1..0>\NAC"
$PN"2"54;
$PN"2"55;
"A <SIZE-1..0>\NAC"
$PN"1"42;
$PN"1"43;
%"RSMD0603"
"1","(3300,-700)","0","cnpassive","I138";
;
......@@ -454,7 +454,7 @@ TC2"RTMPQ";
"B <SIZE-1..0>\NAC"
$PN"2"72;
"A <SIZE-1..0>\NAC"
$PN"1"49;
$PN"1"50;
%"RSMD0603"
"1","(750,-1075)","0","cnpassive","I139";
;
......@@ -478,7 +478,7 @@ MAX_TEMP"RTMAX"
TC1"RTMPL"
TC2"RTMPQ";
"B <SIZE-1..0>\NAC"
$PN"2"43;
$PN"2"44;
"A <SIZE-1..0>\NAC"
$PN"1"60;
%"RSMD0603"
......@@ -530,7 +530,7 @@ SIZE"1B"
CDS_LIB"cnpassive"
PACK_TYPE"1/10W";
"B <SIZE-1..0>\NAC"
$PN"2"44;
$PN"2"45;
"A <SIZE-1..0>\NAC"
$PN"1"59;
%"1-HOLE"
......@@ -545,7 +545,7 @@ CDS_LIB"bris_cds_connectors"
SIZE"1B"
NO_LIST"TRUE";
"A <SIZE-1..0> \B"
$PN"1"52;
$PN"1"53;
%"1-HOLE"
"1","(-1200,-225)","3","bris_cds_connectors","I150";
;
......@@ -558,7 +558,7 @@ CDS_LIB"bris_cds_connectors"
SIZE"1B"
NO_LIST"TRUE";
"A <SIZE-1..0> \B"
$PN"1"51;
$PN"1"52;
%"1-HOLE"
"1","(-850,-225)","3","bris_cds_connectors","I151";
;
......@@ -584,7 +584,7 @@ CDS_LIB"bris_cds_connectors"
SIZE"1B"
NO_LIST"TRUE";
"A <SIZE-1..0> \B"
$PN"1"53;
$PN"1"54;
%"1-HOLE"
"1","(-150,-225)","3","bris_cds_connectors","I153";
;
......@@ -647,16 +647,16 @@ $LOCATION"J3"
TYPE"TSW-102-23-L-S"
CDS_LIB"cnconnector";
"A<0>\NAC"
$PN"1"26;
$PN"1"13;
"A<1>\NAC"
$PN"2"39;
$PN"2"14;
%"GND_SIGNAL"
"1","(4875,600)","0","standard","I158";
;
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING"
CDS_LIB"standard";
"GND"26;
"GND"13;
%"P3V3"
"1","(4925,925)","0","cnpower","I159";
;
......@@ -665,7 +665,7 @@ CDS_LIB"cnpower"
BODY_TYPE"PLUMBING"
SIZE"1B";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"39;
VHDL_INIT"1"14;
%"1-HOLE"
"1","(200,-375)","3","bris_cds_connectors","I160";
;
......@@ -678,7 +678,7 @@ CDS_LIB"bris_cds_connectors"
SIZE"1B"
NO_LIST"TRUE";
"A <SIZE-1..0> \B"
$PN"1"54;
$PN"1"55;
%"1-HOLE"
"1","(150,-375)","3","bris_cds_connectors","I161";
;
......@@ -691,7 +691,7 @@ CDS_LIB"bris_cds_connectors"
SIZE"1B"
NO_LIST"TRUE";
"A <SIZE-1..0> \B"
$PN"1"42;
$PN"1"43;
%"RSMD0603"
"1","(1975,-1100)","0","cnpassive","I162";
;
......@@ -715,18 +715,18 @@ TC2"RTMPQ"
CDS_LIB"cnpassive"
PACK_TYPE"1/10W";
"B <SIZE-1..0>\NAC"
$PN"2"56;
$PN"2"57;
"A <SIZE-1..0>\NAC"
$PN"1"75;
%"CON5P_USB"
"1","(1300,-3150)","0","cnconnector","I163";
;
POWER_GROUP"SHIELD=USB_SHIELD"
CDS_LOCATION"USB1"
CDS_SEC"1"
$SEC"1"
$LOCATION"USB1"
TYPE"1981584-1"
POWER_GROUP"SHIELD=USB_SHIELD"
CDS_LIB"cnconnector";
"VBUS"
$PN"1"58;
......@@ -737,7 +737,7 @@ $PN"4"0;
"D+"
$PN"3"0;
"GND"
$PN"5"2;
$PN"5"25;
%"SW5INT"
"1","(925,-475)","0","cnpassive","I166";
;
......@@ -748,9 +748,9 @@ TYPE"76SB05ST"
$LOCATION"SW1"
CDS_LIB"cnpassive";
"B <SIZE-1..0>\NAC"
$PN"4"41;
$PN"4"42;
"A <SIZE-1..0>\NAC"
$PN"3"25;
$PN"3"15;
%"P3V3"
"1","(600,-425)","0","cnpower","I167";
;
......@@ -759,7 +759,7 @@ CDS_LIB"cnpower"
BODY_TYPE"PLUMBING"
SIZE"1B";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"25;
VHDL_INIT"1"15;
%"SW5INT"
"1","(3525,-1075)","0","cnpassive","I168";
;
......@@ -772,7 +772,7 @@ CDS_LIB"cnpassive";
"B <SIZE-1..0>\NAC"
$PN"10"66;
"A <SIZE-1..0>\NAC"
$PN"9"24;
$PN"9"16;
%"P3V3"
"1","(3200,-1025)","0","cnpower","I169";
;
......@@ -781,7 +781,7 @@ CDS_LIB"cnpower"
BODY_TYPE"PLUMBING"
SIZE"1B";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"24;
VHDL_INIT"1"16;
%"SW5INT"
"1","(3525,-2075)","0","cnpassive","I170";
;
......@@ -794,7 +794,7 @@ CDS_LIB"cnpassive";
"B <SIZE-1..0>\NAC"
$PN"2"67;
"A <SIZE-1..0>\NAC"
$PN"1"23;
$PN"1"17;
%"P3V3"
"1","(3200,-2025)","0","cnpower","I171";
;
......@@ -803,7 +803,7 @@ CDS_LIB"cnpower"
BODY_TYPE"PLUMBING"
SIZE"1B";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"23;
VHDL_INIT"1"17;
%"SW5INT"
"1","(950,-1450)","0","cnpassive","I172";
;
......@@ -814,9 +814,9 @@ $LOCATION"SW1"
TYPE"76SB05ST"
CDS_LIB"cnpassive";
"B <SIZE-1..0>\NAC"
$PN"8"55;
$PN"8"56;
"A <SIZE-1..0>\NAC"
$PN"7"22;
$PN"7"18;
%"P3V3"
"1","(625,-1400)","0","cnpower","I173";
;
......@@ -825,7 +825,7 @@ CDS_LIB"cnpower"
BODY_TYPE"PLUMBING"
SIZE"1B";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"22;
VHDL_INIT"1"18;
%"SW5INT"
"1","(925,-2450)","0","cnpassive","I174";
;
......@@ -836,9 +836,9 @@ TYPE"76SB05ST"
$LOCATION"SW1"
CDS_LIB"cnpassive";
"B <SIZE-1..0>\NAC"
$PN"6"46;
$PN"6"47;
"A <SIZE-1..0>\NAC"
$PN"5"21;
$PN"5"36;
%"P3V3"
"1","(600,-2400)","0","cnpower","I175";
;
......@@ -847,7 +847,7 @@ CDS_LIB"cnpower"
BODY_TYPE"PLUMBING"
SIZE"1B";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"21;
VHDL_INIT"1"36;
%"COAX"
"1","(3150,-225)","2","cnconnector","I178";
;
......@@ -860,9 +860,9 @@ PACK_SHORT"(B<0>,B<1>,B<2>,B<3>)"
NEEDS_NO_SIZE"TRUE"
CDS_LIB"cnconnector";
"B<0>"
$PN"2"20;
$PN"2"37;
"A"
$PN"1"48;
$PN"1"49;
"B<3..1>"0;
%"GND_SIGNAL"
"1","(2950,-425)","0","standard","I179";
......@@ -870,7 +870,7 @@ $PN"1"48;
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING"
CDS_LIB"standard";
"GND"20;
"GND"37;
%"COAX"
"1","(5725,-825)","2","cnconnector","I180";
;
......@@ -906,7 +906,7 @@ PACK_SHORT"(B<0>,B<1>,B<2>,B<3>)"
NEEDS_NO_SIZE"TRUE"
CDS_LIB"cnconnector";
"B<0>"
$PN"2"18;
$PN"2"20;
"A"
$PN"1"68;
"B<3..1>"0;
......@@ -916,7 +916,7 @@ $PN"1"68;
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING"
CDS_LIB"standard";
"GND"18;
"GND"20;
%"COAX"
"1","(2800,-2200)","2","cnconnector","I184";
;
......@@ -929,9 +929,9 @@ CDS_LIB"cnconnector"
NEEDS_NO_SIZE"TRUE"
PACK_SHORT"(B<0>,B<1>,B<2>,B<3>)";
"B<0>"
$PN"2"17;
$PN"2"21;
"A"
$PN"1"45;
$PN"1"46;
"B<3..1>"0;
%"GND_SIGNAL"
"1","(2600,-2375)","0","standard","I185";
......@@ -939,7 +939,7 @@ $PN"1"45;
CDS_LIB"standard"
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL";
"GND"17;
"GND"21;
%"COAX"
"1","(3150,-1200)","2","cnconnector","I186";
;
......@@ -952,9 +952,9 @@ PACK_SHORT"(B<0>,B<1>,B<2>,B<3>)"
NEEDS_NO_SIZE"TRUE"
CDS_LIB"cnconnector";
"B<0>"
$PN"2"16;
$PN"2"22;
"A"
$PN"1"56;
$PN"1"57;
"B<3..1>"0;
%"GND_SIGNAL"
"1","(2950,-1400)","0","standard","I187";
......@@ -962,7 +962,7 @@ $PN"1"56;
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING"
CDS_LIB"standard";
"GND"16;
"GND"22;
%"RSMD0603"
"1","(1975,-125)","0","cnpassive","I188";
;
......@@ -986,7 +986,7 @@ MAX_TEMP"RTMAX"
TC1"RTMPL"
TC2"RTMPQ";
"B <SIZE-1..0>\NAC"
$PN"2"48;
$PN"2"49;
"A <SIZE-1..0>\NAC"
$PN"1"62;
%"LED"
......@@ -1002,7 +1002,7 @@ CDS_LIB"cndiscrete";
"K\NAC"
$PN"2"61;
"A\NAC"
$PN"1"15;
$PN"1"23;
%"RSMD0603"
"1","(3975,475)","1","cnpassive","I190";
;
......@@ -1028,7 +1028,7 @@ SIZE"1B";
"B <SIZE-1..0>\NAC"
$PN"2"61;
"A <SIZE-1..0>\NAC"
$PN"1"14;
$PN"1"24;
%"P3V3"
"1","(3975,925)","0","cnpower","I191";
;
......@@ -1037,14 +1037,14 @@ SIZE"1B"
BODY_TYPE"PLUMBING"
CDS_LIB"cnpower";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"15;
VHDL_INIT"1"23;
%"GND_SIGNAL"
"1","(3925,275)","0","standard","I192";
;
CDS_LIB"standard"
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL";
"GND"14;
"GND"24;
%"CON3P"
"2","(50,-3150)","0","cnconnector","I193";
;
......@@ -1055,39 +1055,39 @@ $LOCATION"J2"
TYPE"SIL254D"
CDS_LIB"cnconnector";
"A<2>\NAC"
$PN"3"5;
$PN"3"40;
"A<1>\NAC"
$PN"2"1;
$PN"2"11;
"A<0>\NAC"
$PN"1"38;
$PN"1"41;
%"GND_SIGNAL"
"1","(1400,-3475)","0","standard","I194";
;
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING"
CDS_LIB"standard";
"GND"2;
"GND"25;
%"GND_SIGNAL"
"1","(1975,-3450)","0","standard","I196";
;
CDS_LIB"standard"
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL";
"GND"13;
"GND"26;
%"CAPCERSMDCL2"
"1","(1650,-3250)","1","cnpassive","I197";
;
CDS_LOCATION"C2"
CDS_SEC"1"
$SEC"1"
$LOCATION"C2"
VALUE"10UF"
VOLTAGE"10V_GEN"
$LOCATION"C2"
SIZE"1"
CDS_LIB"cnpassive"
PACK_TYPE"1210";
"A <SIZE-1..0>\NAC"
$PN"1"12;
$PN"1"27;
"B <SIZE-1..0>\NAC"
$PN"2"58;
%"GND_SIGNAL"
......@@ -1096,7 +1096,7 @@ $PN"2"58;
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL"
CDS_LIB"standard";
"GND"12;
"GND"27;
%"CAPCERSMDCL2"
"1","(2375,-3250)","1","cnpassive","I199";
;
......@@ -1110,9 +1110,9 @@ SIZE"1"
CDS_LIB"cnpassive"
PACK_TYPE"1210";
"A <SIZE-1..0>\NAC"
$PN"1"11;
$PN"1"28;
"B <SIZE-1..0>\NAC"
$PN"2"57;
$PN"2"38;
%"SK_HDMI_TE_2007435-1"
"1","(-600,600)","0","bris_cds_connectors","I2";
;
......@@ -1129,46 +1129,46 @@ $PN"18"0;
"A<15>"
$PN"16"63;
"A<13>"
$PN"14"50;
$PN"14"51;
"A<11>"
$PN"12"42;
$PN"12"43;
"A<9>"
$PN"10"54;
$PN"10"55;
"A<7>"
$PN"8"40;
$PN"8"30;
"A<5>"
$PN"6"70;
"A<3>"
$PN"4"65;
"A<1>"
$PN"2"40;
$PN"2"30;
"A<18>"
$PN"19"0;
"A<16>"
$PN"17"40;
$PN"17"30;
"A<14>"
$PN"15"64;
"A<12>"
$PN"13"0;
"A<10>"
$PN"11"40;
$PN"11"30;
"A<8>"
$PN"9"53;
$PN"9"54;
"A<6>"
$PN"7"71;
"A<4>"
$PN"5"40;
$PN"5"30;
"A<2>"
$PN"3"51;
$PN"3"52;
"A<0>"
$PN"1"52;
$PN"1"53;
%"GND_SIGNAL"
"1","(2325,-3475)","0","standard","I200";
;
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL"
CDS_LIB"standard";
"GND"11;
"GND"28;
%"MIC5239"
"1","(2025,-3000)","0","cnlinear","I201";
;
......@@ -1179,13 +1179,13 @@ $SEC"1"
VOLTAGE"3.3V"
PACK_TYPE"SOIC"
CDS_LIB"cnlinear";
"GND <3..0>"13;
"GND <3..0>"26;
"EN"
$PN"1"58;
"FLG/ADJ"
$PN"2"0;
"OUT"
$PN"4"57;
$PN"4"38;
"IN"
$PN"3"58;
%"PIPELIGHT_1LED"
......@@ -1202,26 +1202,32 @@ CDS_LMAN_SYM_OUTLINE"-75,125,100,-100";
CDS_LIB"standard"
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING";
"GND"3;
"GND"29;
%"CAPCERSMDCL2"
"1","(950,-3600)","1","cnpassive","I207";
;
PACK_TYPE"0603"
CDS_LIB"cnpassive"
SIZE"1"
CDS_LOCATION"C4"
CDS_SEC"1"
$SEC"1"
VOLTAGE"16V"
VALUE"100NF"
$SEC"1"
$LOCATION"C4"
CDS_SEC"1"
CDS_LOCATION"C4";
PACK_TYPE"0603"
CDS_LIB"cnpassive"
SIZE"1";
"A <SIZE-1..0>\NAC"
$PN"1"3;
$PN"1"29;
"B <SIZE-1..0>\NAC"
$PN"2"4;
$PN"2"39;
%"RSMD0603"
"1","(1150,-3575)","1","cnpassive","I208";
;
CDS_LOCATION"R13"
CDS_SEC"1"
$SEC"1"
TOL"1%"
VALUE"100"
$LOCATION"R13"
PACK_TYPE"1/10W"
CDS_LIB"cnpassive"
SIZE"1B"
......@@ -1234,24 +1240,18 @@ VOLTAGE"RVMAX"
SLOPE"RSMAX"
MAX_TEMP"RTMAX"
TC1"RTMPL"
TC2"RTMPQ"
TOL"1%"
VALUE"100"
$SEC"1"
$LOCATION"R13"
CDS_SEC"1"
CDS_LOCATION"R13";
TC2"RTMPQ";
"B <SIZE-1..0>\NAC"
$PN"2"4;
$PN"2"39;
"A <SIZE-1..0>\NAC"
$PN"1"3;
$PN"1"29;
%"GND_SIGNAL"
"1","(-1350,50)","0","standard","I25";
;
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING"
CDS_LIB"standard";
"GND"40;
"GND"30;
%"CAPCERSMDCL2"
"1","(-1100,-3475)","0","cnpassive","I56";
;
......@@ -1265,16 +1265,16 @@ CDS_LIB"cnpassive"
SIZE"1"
CDS_LOCATION"C55";
"A <SIZE-1..0>\NAC"
$PN"1"9;
$PN"1"32;
"B <SIZE-1..0>\NAC"
$PN"2"10;
$PN"2"31;
%"GND_SIGNAL"
"1","(-1050,-3575)","0","standard","I57";
;
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL"
CDS_LIB"standard";
"GND"10;
"GND"31;
%"P3V3"
"1","(-1200,-3375)","0","cnpower","I58";
;
......@@ -1283,7 +1283,7 @@ SIZE"1B"
BODY_TYPE"PLUMBING"
CDS_LIB"cnpower";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"9;
VHDL_INIT"1"32;
%"SN65MLVD204"
"1","(1425,-125)","0","cninterface","I78";
;
......@@ -1296,17 +1296,17 @@ PACK_TYPE"SOIC"
CDS_LIB"cninterface"
NEEDS_NO_SIZE"TRUE";
"A"
$PN"6"54;
$PN"6"55;
"D"
$PN"4"48;
$PN"4"49;
"DE"
$PN"3"41;
$PN"3"42;
"B"
$PN"7"42;
$PN"7"43;
"R"
$PN"1"62;
"RE* \B"
$PN"2"41;
$PN"2"42;
%"RSMD0603"
"1","(1675,-475)","0","cnpassive","I83";
;
......@@ -1330,16 +1330,16 @@ MAX_TEMP"RTMAX"
TC1"RTMPL"
TC2"RTMPQ";
"B <SIZE-1..0>\NAC"
$PN"2"8;
$PN"2"33;
"A <SIZE-1..0>\NAC"
$PN"1"41;
$PN"1"42;
%"GND_SIGNAL"
"1","(1875,-575)","0","standard","I85";
;
CDS_LIB"standard"
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL";
"GND"8;
"GND"33;
%"RSMD0603"
"1","(4550,-725)","0","cnpassive","I87";
;
......@@ -1372,7 +1372,7 @@ $PN"1"77;
CDS_LIB"standard"
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL";
"GND"7;
"GND"34;
%"RSMD0603"
"1","(4250,-1075)","0","cnpassive","I90";
;
......@@ -1396,7 +1396,7 @@ MAX_TEMP"RTMAX"
TC1"RTMPL"
TC2"RTMPQ";
"B <SIZE-1..0>\NAC"
$PN"2"7;
$PN"2"34;
"A <SIZE-1..0>\NAC"
$PN"1"66;
%"SN65MLVD204"
......@@ -1417,7 +1417,7 @@ $PN"4"69;
"DE"
$PN"3"66;
"B"
$PN"7"49;
$PN"7"50;
"R"
$PN"1"77;
"RE* \B"
......@@ -1428,7 +1428,7 @@ $PN"2"66;
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING"
CDS_LIB"standard";
"GND"6;
"GND"35;
%"RSMD0603"
"1","(1675,-1450)","0","cnpassive","I97";
;
......@@ -1452,9 +1452,9 @@ MAX_TEMP"RTMAX"
TC1"RTMPL"
TC2"RTMPQ";
"B <SIZE-1..0>\NAC"
$PN"2"6;
$PN"2"35;
"A <SIZE-1..0>\NAC"
$PN"1"55;
$PN"1"56;
%"SN65MLVD204"
"1","(1425,-1100)","0","cninterface","I98";
;
......@@ -1467,15 +1467,15 @@ PACK_TYPE"SOIC"
NEEDS_NO_SIZE"TRUE"
CDS_LIB"cninterface";
"A"
$PN"6"43;
$PN"6"44;
"D"
$PN"4"56;
$PN"4"57;
"DE"
$PN"3"55;
$PN"3"56;
"B"
$PN"7"60;
"R"
$PN"1"75;
"RE* \B"
$PN"2"55;
$PN"2"56;
END.
......@@ -7,7 +7,7 @@
( 16.6 )
)
( revisionNumber
( logicalViewRevNum 8 )
( logicalViewRevNum 9 )
( physicalViewRevNum 0 )
( otherViewRevNum 0 )
)
......@@ -753,10 +753,22 @@
( objectStatus "BUSY" )
)
( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_passive_adaptor(sch_1):\unnamed_1_skhdmite2007435-1_i2_a\"
( objectStatus "unnamed_1_skhdmite2007435-1_i2_a" )
( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_SKHDMITE2007435-1_I2_"
( Origin gPackager )
)
( attribute "PNN" "UNNAMED_1_SKHDMITE2007435-1_I2_"
( Origin gPackager )
)
( objectStatus "UNNAMED_1_SKHDMITE2007435-1_I2_" )
)
( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_passive_adaptor(sch_1):\unnamed_1_skhdmite2007435-1_i2_a_1\"
( objectStatus "unnamed_1_skhdmite2007435-1_i2_a_1" )
( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_SKHDMITE2007435-1_I_1"
( Origin gPackager )
)
( attribute "PNN" "UNNAMED_1_SKHDMITE2007435-1_I_1"
( Origin gPackager )
)
( objectStatus "UNNAMED_1_SKHDMITE2007435-1_I_1" )
)
( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_passive_adaptor(sch_1):page1_i65"
( attribute "CDS_LIB" "cnpassive"
......@@ -798,6 +810,15 @@
( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM"
( Origin gPackager )
)
( attribute "CDS_LOCATION" "TP11"
( Origin gPackager )
)
( attribute "CDS_SEC" "1"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "TP11" )
( pin "a(0)"
( attribute "PN" "1"
......@@ -846,6 +867,15 @@
( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM"
( Origin gPackager )
)
( attribute "CDS_LOCATION" "TP12"
( Origin gPackager )
)
( attribute "CDS_SEC" "1"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "TP12" )
( pin "a(0)"
( attribute "PN" "1"
......@@ -894,6 +924,15 @@
( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM"
( Origin gPackager )
)
( attribute "CDS_LOCATION" "TP4"
( Origin gPackager )
)
( attribute "CDS_SEC" "1"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "TP4" )
( pin "a(0)"
( attribute "PN" "1"
......@@ -942,6 +981,15 @@
( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM"
( Origin gPackager )
)
( attribute "CDS_LOCATION" "TP9"
( Origin gPackager )
)
( attribute "CDS_SEC" "1"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "TP9" )
( pin "a(0)"
( attribute "PN" "1"
......@@ -990,6 +1038,15 @@
( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM"
( Origin gPackager )
)
( attribute "CDS_LOCATION" "TP10"
( Origin gPackager )
)
( attribute "CDS_SEC" "1"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "TP10" )
( pin "a(0)"
( attribute "PN" "1"
......@@ -1038,6 +1095,15 @@
( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM"
( Origin gPackager )
)
( attribute "CDS_LOCATION" "TP3"
( Origin gPackager )
)
( attribute "CDS_SEC" "1"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "TP3" )
( pin "a(0)"
( attribute "PN" "1"
......@@ -1086,6 +1152,15 @@
( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM"
( Origin gPackager )
)
( attribute "CDS_LOCATION" "TP7"
( Origin gPackager )
)
( attribute "CDS_SEC" "1"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "TP7" )
( pin "a(0)"
( attribute "PN" "1"
......@@ -1134,6 +1209,15 @@
( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM"
( Origin gPackager )
)
( attribute "CDS_LOCATION" "TP8"
( Origin gPackager )
)
( attribute "CDS_SEC" "1"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "TP8" )
( pin "a(0)"
( attribute "PN" "1"
......@@ -1182,6 +1266,15 @@
( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM"
( Origin gPackager )
)
( attribute "CDS_LOCATION" "TP5"
( Origin gPackager )
)
( attribute "CDS_SEC" "1"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "TP5" )
( pin "a(0)"
( attribute "PN" "1"
......@@ -1230,6 +1323,15 @@
( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM"
( Origin gPackager )
)
( attribute "CDS_LOCATION" "TP6"
( Origin gPackager )
)
( attribute "CDS_SEC" "1"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "TP6" )
( pin "a(0)"
( attribute "PN" "1"
......@@ -1278,6 +1380,15 @@
( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM"
( Origin gPackager )
)
( attribute "CDS_LOCATION" "TP1"
( Origin gPackager )
)
( attribute "CDS_SEC" "1"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "TP1" )
( pin "a(0)"
( attribute "PN" "1"
......@@ -1326,6 +1437,15 @@
( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM"
( Origin gPackager )
)
( attribute "CDS_LOCATION" "TP2"
( Origin gPackager )
)
( attribute "CDS_SEC" "1"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "TP2" )
( pin "a(0)"
( attribute "PN" "1"
......@@ -1391,29 +1511,56 @@
( attribute "CDS_PHYS_PART_NAME" "CON8P-44661-1011-GND=GND_SIGNAL"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "J3" )
( pin "a(0)"
( attribute "PN" "1"
( Origin gPackager )
)
( objectStatus "J3.1" )
)
( pin "a(1)"
( attribute "PN" "2"
( Origin gPackager )
)
( objectStatus "J3.2" )
)
( pin "a(2)"
( attribute "PN" "3"
( Origin gPackager )
)
( objectStatus "J3.3" )
)
( pin "a(3)"
( attribute "PN" "4"
( Origin gPackager )
)
( objectStatus "J3.4" )
)
( pin "a(4)"
( attribute "PN" "5"
( Origin gPackager )
)
( objectStatus "J3.5" )
)
( pin "a(5)"
( attribute "PN" "6"
( Origin gPackager )
)
( objectStatus "J3.6" )
)
( pin "a(6)"
( attribute "PN" "7"
( Origin gPackager )
)
( objectStatus "J3.7" )
)
( pin "a(7)"
( attribute "PN" "8"
( Origin gPackager )
)
( objectStatus "J3.8" )
)
)
......@@ -1463,107 +1610,132 @@
( attribute "XY" "(-250,250)"
( Origin gFrontEnd )
)
( attribute "CHIPS_PART_NAME" "CON19P"
( attribute "CHIPS_PART_NAME" "SK_HDMI_TE_2007435-1"
( Origin gPackager )
)
( attribute "CDS_PART_NAME" "SK_HDMI_TE_2007435-1-SK_HDMI_TB"
( Origin gPackager )
)
( objectStatus "PAGE1_I2" )
( attribute "CDS_PHYS_PART_NAME" "SK_HDMI_TE_2007435-1-SK_HDMI_TB"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "J1" )
( pin "a(0)"
( attribute "PN" "#"
( attribute "PN" "1"
( Origin gPackager )
)
( objectStatus "J1.1" )
)
( pin "a(1)"
( attribute "PN" "#"
( attribute "PN" "2"
( Origin gPackager )
)
( objectStatus "J1.2" )
)
( pin "a(2)"
( attribute "PN" "#"
( attribute "PN" "3"
( Origin gPackager )
)
( objectStatus "J1.3" )
)
( pin "a(3)"
( attribute "PN" "#"
( attribute "PN" "4"
( Origin gPackager )
)
( objectStatus "J1.4" )
)
( pin "a(4)"
( attribute "PN" "#"
( attribute "PN" "5"
( Origin gPackager )
)
( objectStatus "J1.5" )
)
( pin "a(5)"
( attribute "PN" "#"
( attribute "PN" "6"
( Origin gPackager )
)
( objectStatus "J1.6" )
)
( pin "a(6)"
( attribute "PN" "#"
( attribute "PN" "7"
( Origin gPackager )
)
( objectStatus "J1.7" )
)
( pin "a(7)"
( attribute "PN" "#"
( attribute "PN" "8"
( Origin gPackager )
)
( objectStatus "J1.8" )
)
( pin "a(8)"
( attribute "PN" "#"
( attribute "PN" "9"
( Origin gPackager )
)
( objectStatus "J1.9" )
)
( pin "a(9)"
( attribute "PN" "#"
( attribute "PN" "10"
( Origin gPackager )
)
( objectStatus "J1.10" )
)
( pin "a(10)"
( attribute "PN" "#"
( attribute "PN" "11"
( Origin gPackager )
)
( objectStatus "J1.11" )
)
( pin "a(11)"
( attribute "PN" "#"
( attribute "PN" "12"
( Origin gPackager )
)
( objectStatus "J1.12" )
)
( pin "a(12)"
( attribute "PN" "#"
( attribute "PN" "13"
( Origin gPackager )
)
( objectStatus "J1.13" )
)
( pin "a(13)"
( attribute "PN" "#"
( attribute "PN" "14"
( Origin gPackager )
)
( objectStatus "J1.14" )
)
( pin "a(14)"
( attribute "PN" "#"
( attribute "PN" "15"
( Origin gPackager )
)
( objectStatus "J1.15" )
)
( pin "a(15)"
( attribute "PN" "#"
( attribute "PN" "16"
( Origin gPackager )
)
( objectStatus "J1.16" )
)
( pin "a(16)"
( attribute "PN" "#"
( attribute "PN" "17"
( Origin gPackager )
)
( objectStatus "J1.17" )
)
( pin "a(17)"
( attribute "PN" "#"
( attribute "PN" "18"
( Origin gPackager )
)
( objectStatus "J1.18" )
)
( pin "a(18)"
( attribute "PN" "#"
( attribute "PN" "19"
( Origin gPackager )
)
( objectStatus "J1.19" )
)
)
)
......
......@@ -7,7 +7,7 @@
( 16.6 )
)
( revisionNumber
( logicalViewRevNum 9 )
( logicalViewRevNum 10 )
( physicalViewRevNum 0 )
( otherViewRevNum 0 )
)
......@@ -1493,7 +1493,7 @@
( attribute "ROT" "0"
( Origin gFrontEnd )
)
( attribute "TYPE" "44661-1011"
( attribute "TYPE" "RJ45"
( Origin gFrontEnd )
)
( attribute "VER" "1"
......@@ -1505,62 +1505,35 @@
( attribute "CHIPS_PART_NAME" "CON8P"
( Origin gPackager )
)
( attribute "CDS_PART_NAME" "CON8P-44661-1011-GND=GND_SIGNAL"
( attribute "CDS_PART_NAME" "CON8P-RJ45-GND=GND_SIGNAL"
( Origin gPackager )
)
( attribute "CDS_PHYS_PART_NAME" "CON8P-44661-1011-GND=GND_SIGNAL"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "J3" )
( pin "a(0)"
( attribute "PN" "1"
( Origin gPackager )
)
( objectStatus "J3.1" )
)
( pin "a(1)"
( attribute "PN" "2"
( Origin gPackager )
)
( objectStatus "J3.2" )
)
( pin "a(2)"
( attribute "PN" "3"
( Origin gPackager )
)
( objectStatus "J3.3" )
)
( pin "a(3)"
( attribute "PN" "4"
( Origin gPackager )
)
( objectStatus "J3.4" )
)
( pin "a(4)"
( attribute "PN" "5"
( Origin gPackager )
)
( objectStatus "J3.5" )
)
( pin "a(5)"
( attribute "PN" "6"
( Origin gPackager )
)
( objectStatus "J3.6" )
)
( pin "a(6)"
( attribute "PN" "7"
( Origin gPackager )
)
( objectStatus "J3.7" )
)
( pin "a(7)"
( attribute "PN" "8"
( Origin gPackager )
)
( objectStatus "J3.8" )
)
)
......
......@@ -7,7 +7,7 @@
( 16.6 )
)
( revisionNumber
( logicalViewRevNum 10 )
( logicalViewRevNum 11 )
( physicalViewRevNum 0 )
( otherViewRevNum 0 )
)
......@@ -1508,32 +1508,59 @@
( attribute "CDS_PART_NAME" "CON8P-RJ45-GND=GND_SIGNAL"
( Origin gPackager )
)
( attribute "CDS_PHYS_PART_NAME" "CON8P-44661-1011-GND=GND_SIGNAL"
( attribute "CDS_PHYS_PART_NAME" "CON8P-RJ45-GND=GND_SIGNAL"
( Origin gPackager )
)
( attribute "SEC" "1"
( Origin gPackager )
)
( objectStatus "J3" )
( pin "a(0)"
( attribute "PN" "1"
( Origin gPackager )
)
( objectStatus "J3.1" )
)
( pin "a(1)"
( attribute "PN" "2"
( Origin gPackager )
)
( objectStatus "J3.2" )
)
( pin "a(2)"
( attribute "PN" "3"
( Origin gPackager )
)
( objectStatus "J3.3" )
)
( pin "a(3)"
( attribute "PN" "4"
( Origin gPackager )
)
( objectStatus "J3.4" )
)
( pin "a(4)"
( attribute "PN" "5"
( Origin gPackager )
)
( objectStatus "J3.5" )
)
( pin "a(5)"
( attribute "PN" "6"
( Origin gPackager )
)
( objectStatus "J3.6" )
)
( pin "a(6)"
( attribute "PN" "7"
( Origin gPackager )
)
( objectStatus "J3.7" )
)
( pin "a(7)"
( attribute "PN" "8"
( Origin gPackager )
)
( objectStatus "J3.8" )
)
)
......
set_property PACKAGE_PIN T4 [get_ports {CLK_TO_FPGA_N}]
set_property PACKAGE_PIN T5 [get_ports {CLK_TO_FPGA_P}]
set_property PACKAGE_PIN D3 [get_ports {CLK_FROM_FPGA_N}]
set_property PACKAGE_PIN E3 [get_ports {CLK_FROM_FPGA_P}]
set_property PACKAGE_PIN P5 [get_ports {CONT_TO_FPGA_P[0]}]
set_property PACKAGE_PIN N5 [get_ports {CLK_GEN_LOL_N}]
set_property PACKAGE_PIN P3 [get_ports {CONT_TO_FPGA_P[1]}]
set_property PACKAGE_PIN P4 [get_ports {CONT_FROM_FPGA_P[1]}]
set_property PACKAGE_PIN N6 [get_ports {CONT_TO_FPGA_P[2]}]
set_property PACKAGE_PIN M6 [get_ports {CONT_FROM_FPGA_P[2]}]
set_property PACKAGE_PIN L5 [get_ports {CONT_TO_FPGA_P[3]}]
set_property PACKAGE_PIN L6 [get_ports {CONT_FROM_FPGA_P[3]}]
set_property PACKAGE_PIN M1 [get_ports {SPARE_TO_FPGA_N[0]}]
set_property PACKAGE_PIN L1 [get_ports {SPARE_FROM_FPGA_P[0]}]
set_property PACKAGE_PIN N4 [get_ports {SPARE_TO_FPGA_N[1]}]
set_property PACKAGE_PIN M4 [get_ports {SPARE_FROM_FPGA_P[1]}]
set_property PACKAGE_PIN N1 [get_ports {SPARE_TO_FPGA_N[2]}]
set_property PACKAGE_PIN N2 [get_ports {SPARE_FROM_FPGA_P[2]}]
set_property PACKAGE_PIN M2 [get_ports {SPARE_TO_FPGA_N[3]}]
set_property PACKAGE_PIN M3 [get_ports {SPARE_FROM_FPGA_P[3]}]
set_property PACKAGE_PIN R5 [get_ports {TRIG_TO_FPGA_N[0]}]
set_property PACKAGE_PIN R6 [get_ports {TRIG_FROM_FPGA_P[0]}]
set_property PACKAGE_PIN R2 [get_ports {TRIG_TO_FPGA_N[1]}]
set_property PACKAGE_PIN P2 [get_ports {TRIG_FROM_FPGA_P[1]}]
set_property PACKAGE_PIN T1 [get_ports {TRIG_TO_FPGA_N[2]}]
set_property PACKAGE_PIN R1 [get_ports {TRIG_FROM_FPGA_P[2]}]
set_property PACKAGE_PIN V1 [get_ports {TRIG_TO_FPGA_N[3]}]
set_property PACKAGE_PIN U1 [get_ports {TRIG_FROM_FPGA_P[3]}]
set_property PACKAGE_PIN T6 [get_ports {BUSY_TO_FPGA_P[0]}]
set_property PACKAGE_PIN R7 [get_ports {BUSY_FROM_FPGA_P[0]}]
set_property PACKAGE_PIN U3 [get_ports {BUSY_TO_FPGA_P[1]}]
set_property PACKAGE_PIN U4 [get_ports {BUSY_FROM_FPGA_P[1]}]
set_property PACKAGE_PIN T8 [get_ports {BUSY_TO_FPGA_P[2]}]
set_property PACKAGE_PIN R8 [get_ports {BUSY_FROM_FPGA_P[2]}]
set_property PACKAGE_PIN L4 [get_ports {BUSY_TO_FPGA_P[3]}]
set_property PACKAGE_PIN K5 [get_ports {BUSY_FROM_FPGA_P[3]}]
set_property PACKAGE_PIN L3 [get_ports {DUT_CLK_TO_FPGA_P[0]}]
set_property PACKAGE_PIN K3 [get_ports {DUT_CLK_FROM_FPGA_P[0]}]
set_property PACKAGE_PIN F3 [get_ports {DUT_CLK_TO_FPGA_P[1]}]
set_property PACKAGE_PIN F4 [get_ports {DUT_CLK_FROM_FPGA_P[1]}]
set_property PACKAGE_PIN D2 [get_ports {DUT_CLK_TO_FPGA_P[2]}]
set_property PACKAGE_PIN E2 [get_ports {DUT_CLK_FROM_FPGA_P[2]}]
set_property PACKAGE_PIN G3 [get_ports {DUT_CLK_TO_FPGA_P[3]}]
set_property PACKAGE_PIN G4 [get_ports {DUT_CLK_FROM_FPGA_P[3]}]
set_property PACKAGE_PIN C1 [get_ports {CLK_GEN_RST_N}]
set_property PACKAGE_PIN C2 [get_ports {I2C_RESET_N}]
set_property PACKAGE_PIN F6 [get_ports {GPIO}]
set_property PACKAGE_PIN H4 [get_ports {BEAM_TRIGGER_N[4]}]
set_property PACKAGE_PIN J4 [get_ports {BEAM_TRIGGER_P[4]}]
set_property PACKAGE_PIN G1 [get_ports {BEAM_TRIGGER_N[5]}]
set_property PACKAGE_PIN H1 [get_ports {BEAM_TRIGGER_P[5]}]
set_property PACKAGE_PIN K1 [get_ports {BEAM_TRIGGER_N[2]}]
set_property PACKAGE_PIN K2 [get_ports {BEAM_TRIGGER_P[2]}]
set_property PACKAGE_PIN C5 [get_ports {BEAM_TRIGGER_N[3]}]
set_property PACKAGE_PIN C6 [get_ports {BEAM_TRIGGER_P[3]}]
set_property PACKAGE_PIN A1 [get_ports {BEAM_TRIGGER_N[0]}]
set_property PACKAGE_PIN B1 [get_ports {BEAM_TRIGGER_P[0]}]
set_property PACKAGE_PIN B4 [get_ports {BEAM_TRIGGER_N[1]}]
set_property PACKAGE_PIN C4 [get_ports {BEAM_TRIGGER_P[1]}]
# Also in main XDC file...
# set_property PACKAGE_PIN N17 [get_ports {SCL}]
# set_property PACKAGE_PIN P18 [get_ports {SDA}]
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment