Commit 83e6d91e authored by Paolo Baesso's avatar Paolo Baesso

Changed Python scripts so that now they use the CONF and INI files. Sill need to…

Changed Python scripts so that now they use the CONF and INI files. Sill need to implement the DUTOutputs functions. Also created a command interface for the TLU.
parent 350d8df5
......@@ -9,6 +9,7 @@
.svn/*
*.jou
*.str
*.BKP
## Backup files
*.py~
......
# -*- coding: utf-8 -*-
import uhal;
import pprint;
import ConfigParser
from FmcTluI2c import *
from I2CuHal import I2CCore
from si5345 import si5345 # Library for clock chip
......@@ -9,15 +10,29 @@ from PCA9539PW import PCA9539PW # Library for serial line expander
class TLU:
"""docstring for TLU"""
def __init__(self, dev_name, man_file):
def __init__(self, dev_name, man_file, parsed_cfg):
section_name= "Producer.fmctlu"
self.dev_name = dev_name
#man_file= parsed_cfg.get(section_name, "ConnectionFile")
self.manager= uhal.ConnectionManager(man_file)
self.hw = self.manager.getDevice(self.dev_name)
self.nDUTs= 4 #Number of DUT connectors
self.nChannels= 6 #Number of trigger inputs
self.VrefInt= 2.5 #Internal DAC voltage reference
self.VrefExt= 1.3 #External DAC voltage reference
self.intRefOn= False #Internal reference is OFF by default
#self.nDUTs= 4 #Number of DUT connectors
self.nDUTs= parsed_cfg.getint(section_name, "nDUTs")
#self.nChannels= 6 #Number of trigger inputs
self.nChannels= parsed_cfg.getint(section_name, "nTrgIn")
#self.VrefInt= 2.5 #Internal DAC voltage reference
self.VrefInt= parsed_cfg.getfloat(section_name, "VRefInt")
#self.VrefExt= 1.3 #External DAC voltage reference
self.VrefExt= parsed_cfg.getfloat(section_name, "VRefExt")
#self.intRefOn= False #Internal reference is OFF by default
self.intRefOn= bool(parsed_cfg.get(section_name, "intRefOn"))
self.fwVersion = self.hw.getNode("version").read()
self.hw.dispatch()
......@@ -30,19 +45,32 @@ class TLU:
enableCore= True #Only need to run this once, after power-up
self.enableCore()
# Instantiate clock chip
self.zeClock=si5345(self.TLU_I2C, 0x68)
# Instantiate clock chip and configure it (if necessary)
#self.zeClock=si5345(self.TLU_I2C, 0x68)
clk_addr= int(parsed_cfg.get(section_name, "I2C_CLK_Addr"), 16)
self.zeClock=si5345(self.TLU_I2C, clk_addr)
res= self.zeClock.getDeviceVersion()
if (int(parsed_cfg.get(section_name, "CONFCLOCK"), 16)):
#clkRegList= self.zeClock.parse_clk("./../../bitFiles/TLU_CLK_Config_v1e.txt")
clkRegList= self.zeClock.parse_clk(parsed_cfg.get(section_name, "CLOCK_CFG_FILE"))
self.zeClock.writeConfiguration(clkRegList)######
self.zeClock.checkDesignID()
# Instantiate DACs and configure them to use reference based on TLU setting
self.zeDAC1=AD5665R(self.TLU_I2C, 0x13)
self.zeDAC2=AD5665R(self.TLU_I2C, 0x1F)
#self.zeDAC1=AD5665R(self.TLU_I2C, 0x13)
#self.zeDAC2=AD5665R(self.TLU_I2C, 0x1F)
dac_addr1= int(parsed_cfg.get(section_name, "I2C_DAC1_Addr"), 16)
self.zeDAC1=AD5665R(self.TLU_I2C, dac_addr1)
dac_addr2= int(parsed_cfg.get(section_name, "I2C_DAC2_Addr"), 16)
self.zeDAC2=AD5665R(self.TLU_I2C, dac_addr2)
self.zeDAC1.setIntRef(self.intRefOn)
self.zeDAC2.setIntRef(self.intRefOn)
# Instantiate the serial line expanders and configure them to default values
self.IC6=PCA9539PW(self.TLU_I2C, 0x74)
#self.IC6=PCA9539PW(self.TLU_I2C, 0x74)
exp1_addr= int(parsed_cfg.get(section_name, "I2C_EXP1_Addr"), 16)
self.IC6=PCA9539PW(self.TLU_I2C, exp1_addr)
self.IC6.setInvertReg(0, 0x00)# 0= normal, 1= inverted
self.IC6.setIOReg(0, 0x00)# 0= output, 1= input
self.IC6.setOutputs(0, 0x77)# If output, set to XX
......@@ -51,7 +79,9 @@ class TLU:
self.IC6.setIOReg(1, 0x00)# 0= output, 1= input
self.IC6.setOutputs(1, 0x77)# If output, set to XX
self.IC7=PCA9539PW(self.TLU_I2C, 0x75)
#self.IC7=PCA9539PW(self.TLU_I2C, 0x75)
exp2_addr= int(parsed_cfg.get(section_name, "I2C_EXP2_Addr"), 16)
self.IC7=PCA9539PW(self.TLU_I2C, exp2_addr)
self.IC7.setInvertReg(0, 0x00)# 0= normal, 1= inverted
self.IC7.setIOReg(0, 0x00)# 0= output, 1= input
self.IC7.setOutputs(0, 0x00)# If output, set to XX
......@@ -224,7 +254,7 @@ class TLU:
def getInternalTrg(self):
trigIntervalR = self.hw.getNode("triggerLogic.InternalTriggerIntervalR").read()
self.hw.dispatch()
print "\tTrigger frequency read back as:", trigIntervalR, "Hz"
print "\tInternal interval read back as:", trigIntervalR
return trigIntervalR
def getMode(self):
......@@ -398,8 +428,9 @@ class TLU:
internalTriggerFreq = 0
print "\tdisabled"
else:
internalTriggerFreq = 160000.0/triggerInterval
print "\t Setting:", internalTriggerFreq, "Hz"
internalTriggerFreq = 160000000.0/triggerInterval
print "\tRequired internal trigger frequency:", triggerInterval, "Hz"
print "\tSetting internal interval to:", internalTriggerFreq
self.hw.getNode("triggerLogic.InternalTriggerIntervalW").write(int(internalTriggerFreq))
self.hw.dispatch()
self.getInternalTrg()
......@@ -416,14 +447,16 @@ class TLU:
self.hw.dispatch()
self.getModeModifier()
def setPulseDelay(self, pulseDelay):
print " TRIGGER DELAY SET TO", hex(pulseDelay), "[Units= 160MHz clock, 5-bit values (one per input) packed in to 32-bit word]"
def setPulseDelay(self, inArray):
print " TRIGGER DELAY SET TO", inArray, "[Units= 160MHz clock, 5-bit values (one per input) packed in to 32-bit word]"
pulseDelay= self.packBits(inArray)
self.hw.getNode("triggerLogic.PulseDelayW").write(pulseDelay)
self.hw.dispatch()
self.getPulseDelay()
def setPulseStretch(self, pulseStretch):
print " INPUT COINCIDENCE WINDOW SET TO", hex(pulseStretch) ,"[Units= 160MHz clock cycles, 5-bit values (one per input) packed in to 32-bit word]"
def setPulseStretch(self, inArray):
print " INPUT COINCIDENCE WINDOW SET TO", inArray ,"[Units= 160MHz clock cycles, 5-bit values (one per input) packed in to 32-bit word]"
pulseStretch= self.packBits(inArray)
self.hw.getNode("triggerLogic.PulseStretchW").write(pulseStretch)
self.hw.dispatch()
self.getPulseStretch()
......@@ -486,6 +519,19 @@ class TLU:
dacValue = 0xFFFF * (Vdac / Vref)
DACtarget.writeDAC(int(dacValue), channel, True)
def packBits(self, raw_values):
packed_bits= 0
if (len(raw_values) != self.nChannels):
print "Error (packBits): wrong number of elements in array"
else:
for idx, iCh in enumerate(raw_values):
tmpint= iCh << idx*5
packed_bits= packed_bits | tmpint
print "\tPacked =", hex(packed_bits)
return packed_bits
def parseFifoData(self, fifoData, nEvents, verbose):
#for index in range(0, len(fifoData)-1, 6):
outList= []
......@@ -576,14 +622,12 @@ class TLU:
writer = csv.writer(f)
writer.writerows(outList)
##################################################################################################################################
##################################################################################################################################
def initialize(self):
def configure(self, parsed_cfg):
print "\nTLU INITIALIZING..."
# We need to pass it listenForTelescopeShutter , pulseDelay , pulseStretch , triggerPattern , DUTMask , ignoreDUTBusy , triggerInterval , thresholdVoltage
section_name= "Producer.fmctlu"
#READ CONTENT OF EPROM VIA I2C
self.getSN()
......@@ -592,12 +636,14 @@ class TLU:
cmd = int("0x1",16)
self.setTriggerVetoStatus(cmd)
#
# #SET DACs
targetV= -0.12
DACchannel= 7
self.writeThreshold(self.zeDAC1, targetV, DACchannel, )
self.writeThreshold(self.zeDAC2, targetV, DACchannel, )
self.writeThreshold(self.zeDAC1, parsed_cfg.getfloat(section_name, "DACThreshold0"), 1, )
self.writeThreshold(self.zeDAC1, parsed_cfg.getfloat(section_name, "DACThreshold1"), 0, )
self.writeThreshold(self.zeDAC2, parsed_cfg.getfloat(section_name, "DACThreshold2"), 3, )
self.writeThreshold(self.zeDAC2, parsed_cfg.getfloat(section_name, "DACThreshold3"), 2, )
self.writeThreshold(self.zeDAC2, parsed_cfg.getfloat(section_name, "DACThreshold4"), 1, )
self.writeThreshold(self.zeDAC2, parsed_cfg.getfloat(section_name, "DACThreshold5"), 0, )
#
# #ENABLE/DISABLE HDMI OUTPUTS
......@@ -607,7 +653,7 @@ class TLU:
self.DUTOutputs(3, True, False)
## ENABLE/DISABLE LEMO CLOCK OUTPUT
self.enableClkLEMO(True, False)
self.enableClkLEMO(parsed_cfg.getint(section_name, "LEMOclk"), False)
#
# #Check clock status
......@@ -627,49 +673,59 @@ class TLU:
# # Get inputs status and counters
self.getChStatus()
self.getAllChannelsCounts()
#
# # Stop internal triggers until setup complete
cmd = int("0x0",16)
self.setInternalTrg(cmd)
#
# # Set pulse stretch
pulseStretch= 0x00000000
self.setPulseStretch(pulseStretch)
#
# # Set pulse delay
pulseDelay= 0x00
self.setPulseDelay(pulseDelay)
# # Set pulse stretches
str0= parsed_cfg.getint(section_name, "in0_STR")
str1= parsed_cfg.getint(section_name, "in1_STR")
str2= parsed_cfg.getint(section_name, "in2_STR")
str3= parsed_cfg.getint(section_name, "in3_STR")
str4= parsed_cfg.getint(section_name, "in4_STR")
str5= parsed_cfg.getint(section_name, "in5_STR")
self.setPulseStretch([str0, str1, str2, str3, str4, str5])
# # Set pulse delays
del0= parsed_cfg.getint(section_name, "in0_DEL")
del1= parsed_cfg.getint(section_name, "in1_DEL")
del2= parsed_cfg.getint(section_name, "in2_DEL")
del3= parsed_cfg.getint(section_name, "in3_DEL")
del4= parsed_cfg.getint(section_name, "in4_DEL")
del5= parsed_cfg.getint(section_name, "in5_DEL")
self.setPulseDelay([del0, del1, del2, del3, del4, del5])
# # Set trigger pattern
#triggerPattern_low= 0xFFFEFFFE
#triggerPattern_high= 0xFFFFFFFF
triggerPattern_low= 0x00000002 #0x00000002
triggerPattern_high= 0x00000000
triggerPattern_low= int(parsed_cfg.get(section_name, "trigMaskLo"), 16)
triggerPattern_high= int(parsed_cfg.get(section_name, "trigMaskHi"), 16)
self.setTrgPattern(triggerPattern_high, triggerPattern_low)
# # Set DUTs
DUTMask= 0xF
# # Set active DUTs
DUTMask= int(parsed_cfg.get(section_name, "DutMask"), 16)
self.setDUTmask(DUTMask)
#
# # # Set mode
DUTMode= 0xFFFFFFFC ####
# # Set mode (AIDA, EUDET)
DUTMode= int(parsed_cfg.get(section_name, "DUTMaskMode"), 16)
self.setMode(DUTMode)
# # # Set modifier
modifier = int("0xFF",16)
# # Set modifier
modifier = int(parsed_cfg.get(section_name, "DUTMaskModeModifier"), 16)
self.setModeModifier(modifier)
#
# # Set veto shutter
setVetoShutters=0
setVetoShutters = int(parsed_cfg.get(section_name, "DUTIgnoreShutterVeto"), 16)
self.setVetoShutters(setVetoShutters)
# # Set veto by DUT
ignoreDUTBusy=0x0
ignoreDUTBusy = int(parsed_cfg.get(section_name, "DUTIgnoreBusy"), 16)
self.setVetoDUT(ignoreDUTBusy)
print " Check external veto:"
self.getExternalVeto()
#
# # Set trigger interval (use 0 to disable internal triggers)
triggerInterval= 0000
triggerInterval= parsed_cfg.getint(section_name, "InternalTriggerFreq")
self.setInternalTrg(triggerInterval)
print "TLU INITIALIZED"
......
# Si538x/4x Registers Export
#
# Part: Si5345
# Project File: P:\cad\designs\fmc-mtlu\trunk\circuit_board\Cadence\worklib\fmc_tlu_toplevel_c\physical\ClockGen\TLU_Si5345-RevB-NEWTLU00-Project.slabtimeproj
# Design ID: TLU1E_01
# Includes Pre/Post Download Control Register Writes: Yes
# Die Revision: A2
# Creator: ClockBuilder Pro v2.12.1 [2016-12-15]
# Created On: 2017-08-24 13:37:41 GMT+01:00
Address,Data
0x0B24,0xD8
0x0B25,0x00
0x000B,0x68
0x0016,0x02
0x0017,0x1C
0x0018,0x88
0x0019,0xDD
0x001A,0xDF
0x002B,0x02
0x002C,0x07
0x002D,0x15
0x002E,0x37
0x002F,0x00
0x0030,0x37
0x0031,0x00
0x0032,0x37
0x0033,0x00
0x0034,0x00
0x0035,0x00
0x0036,0x37
0x0037,0x00
0x0038,0x37
0x0039,0x00
0x003A,0x37
0x003B,0x00
0x003C,0x00
0x003D,0x00
0x003F,0x77
0x0040,0x04
0x0041,0x0C
0x0042,0x0C
0x0043,0x0C
0x0044,0x00
0x0045,0x0C
0x0046,0x32
0x0047,0x32
0x0048,0x32
0x0049,0x00
0x004A,0x32
0x004B,0x32
0x004C,0x32
0x004D,0x00
0x004E,0x55
0x004F,0x05
0x0051,0x03
0x0052,0x03
0x0053,0x03
0x0054,0x00
0x0055,0x03
0x0056,0x03
0x0057,0x03
0x0058,0x00
0x0059,0x3F
0x005A,0xCC
0x005B,0xCC
0x005C,0xCC
0x005D,0x00
0x005E,0xCC
0x005F,0xCC
0x0060,0xCC
0x0061,0x00
0x0062,0xCC
0x0063,0xCC
0x0064,0xCC
0x0065,0x00
0x0066,0x00
0x0067,0x00
0x0068,0x00
0x0069,0x00
0x0092,0x00
0x0093,0x00
0x0095,0x00
0x0096,0x00
0x0098,0x00
0x009A,0x02
0x009B,0x30
0x009D,0x00
0x009E,0x20
0x00A0,0x00
0x00A2,0x02
0x00A8,0x89
0x00A9,0x70
0x00AA,0x07
0x00AB,0x00
0x00AC,0x00
0x0102,0x01
0x0108,0x06
0x0109,0x09
0x010A,0x33
0x010B,0x00
0x010D,0x06
0x010E,0x09
0x010F,0x33
0x0110,0x00
0x0112,0x06
0x0113,0x09
0x0114,0x33
0x0115,0x00
0x0117,0x06
0x0118,0x09
0x0119,0x33
0x011A,0x00
0x011C,0x06
0x011D,0x09
0x011E,0x33
0x011F,0x00
0x0121,0x06
0x0122,0x09
0x0123,0x33
0x0124,0x00
0x0126,0x06
0x0127,0x09
0x0128,0x33
0x0129,0x00
0x012B,0x06
0x012C,0x09
0x012D,0x33
0x012E,0x00
0x0130,0x06
0x0131,0x09
0x0132,0x33
0x0133,0x00
0x013A,0x01
0x013B,0xCC
0x013C,0x00
0x013D,0x00
0x013F,0x00
0x0140,0x00
0x0141,0x40
0x0142,0xFF
0x0202,0x00
0x0203,0x00
0x0204,0x00
0x0205,0x00
0x0206,0x00
0x0208,0x14
0x0209,0x00
0x020A,0x00
0x020B,0x00
0x020C,0x00
0x020D,0x00
0x020E,0x01
0x020F,0x00
0x0210,0x00
0x0211,0x00
0x0212,0x14
0x0213,0x00
0x0214,0x00
0x0215,0x00
0x0216,0x00
0x0217,0x00
0x0218,0x01
0x0219,0x00
0x021A,0x00
0x021B,0x00
0x021C,0x14
0x021D,0x00
0x021E,0x00
0x021F,0x00
0x0220,0x00
0x0221,0x00
0x0222,0x01
0x0223,0x00
0x0224,0x00
0x0225,0x00
0x0226,0x00
0x0227,0x00
0x0228,0x00
0x0229,0x00
0x022A,0x00
0x022B,0x00
0x022C,0x00
0x022D,0x00
0x022E,0x00
0x022F,0x00
0x0231,0x01
0x0232,0x01
0x0233,0x01
0x0234,0x01
0x0235,0x00
0x0236,0x00
0x0237,0x00
0x0238,0x00
0x0239,0xA9
0x023A,0x00
0x023B,0x00
0x023C,0x00
0x023D,0x00
0x023E,0xA0
0x024A,0x00
0x024B,0x00
0x024C,0x00
0x024D,0x00
0x024E,0x00
0x024F,0x00
0x0250,0x00
0x0251,0x00
0x0252,0x00
0x0253,0x00
0x0254,0x00
0x0255,0x00
0x0256,0x00
0x0257,0x00
0x0258,0x00
0x0259,0x00
0x025A,0x00
0x025B,0x00
0x025C,0x00
0x025D,0x00
0x025E,0x00
0x025F,0x00
0x0260,0x00
0x0261,0x00
0x0262,0x00
0x0263,0x00
0x0264,0x00
0x0268,0x00
0x0269,0x00
0x026A,0x00
0x026B,0x54
0x026C,0x4C
0x026D,0x55
0x026E,0x31
0x026F,0x45
0x0270,0x5F
0x0271,0x30
0x0272,0x31
0x0302,0x00
0x0303,0x00
0x0304,0x00
0x0305,0x80
0x0306,0x54
0x0307,0x00
0x0308,0x00
0x0309,0x00
0x030A,0x00
0x030B,0x80
0x030C,0x00
0x030D,0x00
0x030E,0x00
0x030F,0x00
0x0310,0x00
0x0311,0x00
0x0312,0x00
0x0313,0x00
0x0314,0x00
0x0315,0x00
0x0316,0x00
0x0317,0x00
0x0318,0x00
0x0319,0x00
0x031A,0x00
0x031B,0x00
0x031C,0x00
0x031D,0x00
0x031E,0x00
0x031F,0x00
0x0320,0x00
0x0321,0x00
0x0322,0x00
0x0323,0x00
0x0324,0x00
0x0325,0x00
0x0326,0x00
0x0327,0x00
0x0328,0x00
0x0329,0x00
0x032A,0x00
0x032B,0x00
0x032C,0x00
0x032D,0x00
0x032E,0x00
0x032F,0x00
0x0330,0x00
0x0331,0x00
0x0332,0x00
0x0333,0x00
0x0334,0x00
0x0335,0x00
0x0336,0x00
0x0337,0x00
0x0338,0x00
0x0339,0x1F
0x033B,0x00
0x033C,0x00
0x033D,0x00
0x033E,0x00
0x033F,0x00
0x0340,0x00
0x0341,0x00
0x0342,0x00
0x0343,0x00
0x0344,0x00
0x0345,0x00
0x0346,0x00
0x0347,0x00
0x0348,0x00
0x0349,0x00
0x034A,0x00
0x034B,0x00
0x034C,0x00
0x034D,0x00
0x034E,0x00
0x034F,0x00
0x0350,0x00
0x0351,0x00
0x0352,0x00
0x0353,0x00
0x0354,0x00
0x0355,0x00
0x0356,0x00
0x0357,0x00
0x0358,0x00
0x0359,0x00
0x035A,0x00
0x035B,0x00
0x035C,0x00
0x035D,0x00
0x035E,0x00
0x035F,0x00
0x0360,0x00
0x0361,0x00
0x0362,0x00
0x0487,0x00
0x0502,0x01
0x0508,0x14
0x0509,0x23
0x050A,0x0C
0x050B,0x0B
0x050C,0x03
0x050D,0x3F
0x050E,0x17
0x050F,0x2B
0x0510,0x09
0x0511,0x08
0x0512,0x03
0x0513,0x3F
0x0515,0x00
0x0516,0x00
0x0517,0x00
0x0518,0x00
0x0519,0xA4
0x051A,0x02
0x051B,0x00
0x051C,0x00
0x051D,0x00
0x051E,0x00
0x051F,0x80
0x0521,0x21
0x052A,0x05
0x052B,0x01
0x052C,0x0F
0x052D,0x03
0x052E,0x19
0x052F,0x19
0x0531,0x00
0x0532,0x42
0x0533,0x03
0x0534,0x00
0x0535,0x00
0x0536,0x08
0x0537,0x00
0x0538,0x00
0x0539,0x00
0x0802,0x35
0x0803,0x05
0x0804,0x00
0x090E,0x02
0x0943,0x00
0x0949,0x07
0x094A,0x07
0x0A02,0x00
0x0A03,0x01
0x0A04,0x01
0x0A05,0x01
0x0B44,0x2F
0x0B46,0x00
0x0B47,0x00
0x0B48,0x08
0x0B4A,0x1E
0x0514,0x01
0x001C,0x01
0x0B24,0xDB
0x0B25,0x02
[Producer.fmctlu]
verbose= 0
confid= 20170626
delayStart= 1000
HDMI1_set= 0x4 ## 4-bit to determine direction of HDMI pins
HDMI2_set= 0x5 ## 4-bit to determine direction of HDMI pins
HDMI3_set= 0x0 ## 4-bit to determine direction of HDMI pins
HDMI4_set= 0xA ## 4-bit to determine direction of HDMI pins
HDMI1_clk = 1
HDMI2_clk = 1
HDMI3_clk = 1
HDMI4_clk = 1
# Enable/disable differential LEMO CLOCK
LEMOclk = 1
# Set delay and stretch for trigger pulses
in0_STR = 1
in0_DEL = 0
in1_STR = 1
in1_DEL = 0
in2_STR = 1
in2_DEL = 0
in3_STR = 1
in3_DEL = 0
in4_STR = 1
in4_DEL = 0
in5_STR = 1
in5_DEL = 0
#
trigMaskHi = 0x00000000
trigMaskLo = 0x00000002
#
#### DAC THRESHOLD
DACThreshold0 = -0.5
DACThreshold1 = -0.12
DACThreshold2 = -0.12
DACThreshold3 = -0.12
DACThreshold4 = -0.12
DACThreshold5 = -0.6
# Define which DUTs are ON
DutMask = 1
# Define mode of DUT (00 EUDET, 11 AIDA)
DUTMaskMode= 0xFFFFFFFC
# Allow asynchronous veto
DUTMaskModeModifier= 0x0
# Ignore busy from a specific DUT
DUTIgnoreBusy = 0x0
# Ignore the SHUTTER veto on a specific DUT
DUTIgnoreShutterVeto = 0x0
# Generate internal triggers (in Hz, 0= no triggers)
InternalTriggerFreq = 160
[LogCollector.log]
# Currently, all LogCollectors have a hardcoded runtime name: log
# nothing
[DataCollector.my_dc]
EUDAQ_MON=my_mon
# send assambled event to the monitor with runtime name my_mon;
EUDAQ_FW=native
# the format of data file
EUDAQ_FW_PATTERN=$12D_run$6R$X
# the name pattern of data file
# the $12D will be converted a data/time string with 12 digits.
# the $6R will be converted a run number string with 6 digits.
# the $X will be converted the suffix name of data file.
[Monitor.my_mon]
EX0_ENABLE_PRINT=0
EX0_ENABLE_STD_PRINT=0
EX0_ENABLE_STD_CONVERTER=1
[Producer.fmctlu]
initid= 20170703
ConnectionFile= "file://./../user/eudet/misc/fmctlu_connection.xml"
DeviceName="fmctlu.udp"
TLUmod= "1e"
# number of HDMI inputs, leave 4 even if you only use fewer inputs
nDUTs = 4
nTrgIn = 6
# 0= False (Internal Reference OFF), 1= True
intRefOn = 0
VRefInt = 2.5
VRefExt = 1.3
# I2C address of the bus expander on Enclustra FPGA
I2C_COREEXP_Addr = 0x21
# I2C address of the Si5345
I2C_CLK_Addr = 0x68
# I2C address of 1st AD5665R
I2C_DAC1_Addr = 0x13
# I2C address of 2nd AD5665R
I2C_DAC2_Addr = 0x1F
# address of unique Id number EEPROM
I2C_ID_Addr = 0x50
#I2C address of 1st expander PCA9539PW
I2C_EXP1_Addr = 0x74
#I2C address of 2st expander PCA9539PW
I2C_EXP2_Addr = 0x75
##CONFCLOCK 0= skip clock configuration, 1= configure si5345
CONFCLOCK= 0
CLOCK_CFG_FILE = /users/phpgb/workspace/myFirmware/AIDA/TLU_v1e/scripts/localClock.txt
[LogCollector.log]
# Currently, all LogCollectors have a hardcoded runtime name: log
EULOG_GUI_LOG_FILE_PATTERN = myexample_$12D.log
# the $12D will be converted a data/time string with 12 digits.
[DataCollector.my_dc]
# nothing
[Monitor.my_mon]
# nothing
......@@ -14,19 +14,57 @@ from TLU_v1e import TLU
# Use to have interactive shell
import cmd
# Use to have config file parser
import ConfigParser
## Define class that creates the command user inteface
class MyPrompt(cmd.Cmd):
# def do_initialise(self, args):
# """Processes the INI file and writes its values to the TLU. To use a specific file type:\n
# parseIni path/to/filename.ini\n
# (without quotation marks)"""
# print "COMMAND RECEIVED: PARSE INI"
# parsed_cfg= self.open_cfg_file(args, "/users/phpgb/workspace/myFirmware/AIDA/TLU_v1e/scripts/localIni.ini")
# try:
# theID = parsed_cfg.getint("Producer.fmctlu", "initid")
# print theID
# theSTRING= parsed_cfg.get("Producer.fmctlu", "ConnectionFile")
# print theSTRING
# #TLU= TLU("tlu", theSTRING, parsed_cfg)
# except IOError:
# print "\t Could not retrieve INI data."
# return
def do_configure(self, args):
"""Processes the CONF file and writes its values to the TLU. To use a specific file type:\n
parseIni path/to/filename.conf\n
(without quotation marks)"""
print "COMMAND RECEIVED: PARSE CONFIG"
#self.testme()
parsed_cfg= self.open_cfg_file(args, "/users/phpgb/workspace/myFirmware/AIDA/TLU_v1e/scripts/localConf.conf")
try:
theID = parsed_cfg.getint("Producer.fmctlu", "confid")
print "\t", theID
TLU.configure(parsed_cfg)
except IOError:
print "\t Could not retrieve CONF data."
return
def do_startRun(self, args):
"""Starts the TLU run"""
print "COMMAND RECEIVED: STARTING TLU RUN"
startTLU( uhalDevice = self.hw, pychipsBoard = self.board, writeTimestamps = ( options.writeTimestamps == "True" ) )
#print self.hw
"""Starts the TLU run"""
print "COMMAND RECEIVED: STARTING TLU RUN"
startTLU( uhalDevice = self.hw, pychipsBoard = self.board, writeTimestamps = ( options.writeTimestamps == "True" ) )
#print self.hw
def do_stopRun(self, args):
"""Stops the TLU run"""
print "COMMAND RECEIVED: STOP TLU RUN"
#stopTLU( uhalDevice = hw, pychipsBoard = board )
"""Stops the TLU run"""
print "COMMAND RECEIVED: STOP TLU RUN"
#stopTLU( uhalDevice = hw, pychipsBoard = board )
def do_quit(self, args):
"""Quits the program."""
......@@ -34,6 +72,34 @@ class MyPrompt(cmd.Cmd):
#raise SystemExit
return True
def testme(self):
print "This is a test"
def open_cfg_file(self, args, default_file):
# Parse the user arguments, attempts to opent the file and performs a (minimal)
# check to verify the file exists (but not that its content is correct)
arglist = args.split()
if len(arglist) == 0:
print "\tno file specified, using default"
fileName= default_file
print "\t", fileName
else:
fileName= arglist[0]
if len(arglist) > 1:
print "\tinvalid: too many arguments. Max 1."
return
parsed_file = ConfigParser.RawConfigParser()
try:
with open(fileName) as f:
parsed_file.readfp(f)
print "\t", parsed_file.sections()
except IOError:
print "\t Error while parsing the specified file."
return
return parsed_file
# # Override methods in Cmd object ##
# def preloop(self):
# """Initialization before prompting user for commands.
......@@ -60,13 +126,22 @@ class MyPrompt(cmd.Cmd):
#################################################
if __name__ == "__main__":
TLU= TLU("tlu", "file://./TLUconnection.xml")
TLU.initialize()
print "HEY HEY"
logdata= True
TLU.start(logdata)
time.sleep(5)
TLU.stop(False, False)
# prompt = MyPrompt()
# prompt.prompt = '>> '
# prompt.cmdloop("Welcome to miniTLU test console.\nType HELP for a list of commands.")
print "TLU v1E MAIN"
prompt = MyPrompt()
prompt.prompt = '>> '
parsed_ini= prompt.open_cfg_file("", "./localIni.ini")
TLU= TLU("tlu", "file://./TLUconnection.xml", parsed_ini)
parsed_cfg= prompt.open_cfg_file("", "./localIni.ini")
###TLU.configure(parsed_cfg)
###logdata= True
###TLU.start(logdata)
###time.sleep(5)
###TLU.stop(False, False)
# Start interactive prompt
print "=+=================================================================="
print "==========================TLU TEST CONSOLE=========================="
print "+==================================================================="
prompt.cmdloop("Type 'help' for a list of commands.")
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment