Commit 4a2b9391 authored by penacoba's avatar penacoba

Adjusted test_bench


git-svn-id: http://svn.ohwr.org/fmc-tdc@43 85dfdc96-de2c-444c-878d-45b388be74a9
parent 442adcb5
......@@ -95,6 +95,8 @@ architecture behavioral of tb_tdc is
wr_n_o : out std_logic;
-- other signals on the tdc card
tdc_in_fpga_5_i : in std_logic;
mute_inputs_o : out std_logic;
tdc_led_status_o : out std_logic;
tdc_led_trig1_o : out std_logic;
......@@ -319,6 +321,8 @@ signal tstop3 : std_logic;
signal tstop4 : std_logic;
signal tstop5 : std_logic;
signal tdc_in_fpga_5 : std_logic;
signal tdc_led_status : std_logic;
signal tdc_led_trig1 : std_logic;
signal tdc_led_trig2 : std_logic;
......@@ -445,6 +449,8 @@ begin
wr_n_o => wr_n_o,
-- other signals on the tdc card
tdc_in_fpga_5_i => tdc_in_fpga_5,
mute_inputs_o => mute_inputs,
tdc_led_status_o => tdc_led_status,
tdc_led_trig1_o => tdc_led_trig1,
......@@ -638,6 +644,8 @@ begin
GPIO(0) <= irq_p;
GPIO(1) <= spare;
tdc_in_fpga_5 <= tstop5;
spec_aux0_i <= '1';
spec_aux1_i <= '1';
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment