Commit 56bf994b authored by egousiou's avatar egousiou

- removed DMA from SPEC design

- changed SPEC synthesis to ISE (was Synplify)
- general cleanup

git-svn-id: http://svn.ohwr.org/fmc-tdc@152 85dfdc96-de2c-444c-878d-45b388be74a9
parent ccfbe404
# Date: Thu Feb 3 16:41:04 2011
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Foundation_ISE
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = true
SET vhdlsim = true
SET workingdirectory = ./tmp/
# CRC: f66dfaab
SET busformat = BusFormatAngleBracketNotRipped
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Foundation_ISE
SET package = fgg484
SET speedgrade = -3
SET verilogsim = true
SET vhdlsim = true
......@@ -29,6 +29,27 @@
<file xil_pn:fileType="FILE_USERDOC" xil_pn:name="fifo_generator_readme.txt" xil_pn:origination="imported"/>
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="8777296749647723518" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="2104667735123416897" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="1627810207069309888" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
</transforms>
</generated_project>
......@@ -30,28 +30,309 @@
</files>
<properties>
<property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
<property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="false" xil_pn:valueState="non-default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
<property xil_pn:name="Device" xil_pn:value="xc6slx45t" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Essential Bits" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|fifo_32x512|fifo_32x512_a" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="fifo_32x512.vhd" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/fifo_32x512" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile spartan6" xil_pn:value="Enable" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Place &amp; Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="fifo_32x512" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
<property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="fifo_32x512_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="fifo_32x512_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="fifo_32x512_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="fifo_32x512_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
<property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
<property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
<property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="fifo_32x512" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2011-02-03T17:40:59" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="7848BC49ECBEC697042292BDA767D393" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
......
......@@ -29,6 +29,27 @@
<file xil_pn:fileType="FILE_USERDOC" xil_pn:name="fifo_generator_readme.txt" xil_pn:origination="imported"/>
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-5972887507274424632" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-6973896807994015797" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="5324370023856713354" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
</transforms>
</generated_project>
......@@ -30,28 +30,309 @@
</files>
<properties>
<property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
<property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="false" xil_pn:valueState="non-default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
<property xil_pn:name="Device" xil_pn:value="xc6slx45t" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Essential Bits" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|fifo_64x512|fifo_64x512_a" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="fifo_64x512.vhd" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/fifo_64x512" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile spartan6" xil_pn:value="Enable" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Place &amp; Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="fifo_64x512" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
<property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="fifo_64x512_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="fifo_64x512_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="fifo_64x512_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="fifo_64x512_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
<property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
<property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
<property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="fifo_64x512" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2011-02-03T17:46:02" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="854009E4CFB5E321F7E82E7B56A5D782" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
......
......@@ -26,6 +26,27 @@
<file xil_pn:fileType="FILE_USERDOC" xil_pn:name="blk_mem_gen_v6_2_readme.txt" xil_pn:origination="imported"/>
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-7640980108902946276" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-4819290180276573634" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="-6718039799359289506" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
</transforms>
</generated_project>
......@@ -14,19 +14,7 @@
<version xil_pn:ise_version="13.4" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="blk_mem_circ_buff_v6_4.ngc" xil_pn:type="FILE_NGC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
</file>
<file xil_pn:name="blk_mem_circ_buff_v6_4.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="2"/>
</file>
</files>
<files/>
<properties>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
......
......@@ -22,10 +22,30 @@
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="blk_mem_gen_v6_1.xise"/>
<files xmlns="http://www.xilinx.com/XMLSchema">
<file xil_pn:fileType="FILE_USERDOC" xil_pn:name="blk_mem_gen_readme.txt" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="blk_mem_gen_v6_1.vho" xil_pn:origination="imported"/>
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="165936098113533828" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="7071533197450788902" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="8814703242142704070" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
</transforms>
</generated_project>
......@@ -12,371 +12,32 @@
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
</header>
<version xil_pn:ise_version="13.1" xil_pn:schema_version="2"/>
<version xil_pn:ise_version="13.4" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="blk_mem_gen_v6_1.ngc" xil_pn:type="FILE_NGC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
</file>
<file xil_pn:name="blk_mem_gen_v6_1.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
</file>
</files>
<files/>
<properties>
<property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
<property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Clock Enable" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Input Limit (4-40)" xil_pn:value="32" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Pterm Limit (3-56)" xil_pn:value="28" xil_pn:valueState="default"/>
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Compile CPLD Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile uni9000 (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Default Powerup Value of Registers" xil_pn:value="Low" xil_pn:valueState="default"/>
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
<property xil_pn:name="Device" xil_pn:value="xc6slx45t" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Exhaustive Fit Mode" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Function Block Input Limit (4-40)" xil_pn:value="38" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Fit Power Data" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Fit Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="HDL Equations Style" xil_pn:value="Source" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
<property xil_pn:name="I/O Voltage Standard" xil_pn:value="LVCMOS18" xil_pn:valueState="default"/>
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Stop View" xil_pn:value="Structural" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Template" xil_pn:value="Optimize Density" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|blk_mem_gen_v6_1|blk_mem_gen_v6_1_a" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="blk_mem_gen_v6_1.vhd" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/blk_mem_gen_v6_1" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Input and tristate I/O Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/>
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy CPLD" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Logic Optimization" xil_pn:value="Density" xil_pn:valueState="default"/>
<property xil_pn:name="Macro Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
<property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other CPLD Fitter Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Fit" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Place &amp; Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Programming Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Fit" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Timing Report Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="blk_mem_gen_v6_1" xil_pn:valueState="default"/>
<property xil_pn:name="Output Slew Rate" xil_pn:value="Fast" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
<property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="blk_mem_gen_v6_1_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="blk_mem_gen_v6_1_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="blk_mem_gen_v6_1_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="blk_mem_gen_v6_1_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Preserve Unused Inputs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retiming Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Fit" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Report Format" xil_pn:value="Summary" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
<property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Unused I/O Pad Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/>
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Fit" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Fit" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Data Gate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Direct Input for Input Registers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Clocks" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Output Enables" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Set/Reset" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Location Constraints" xil_pn:value="Always" xil_pn:valueState="default"/>
<property xil_pn:name="Use Multi-level Logic Optimization" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Timing Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="WYSIWYG" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
<property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
<property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="default"/>
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="XOR Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="blk_mem_gen_v6_1" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostFitSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2011-10-04T19:15:30" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="F14F52E8AC65CD04EE5865716F5E9AB1" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
......
......@@ -22,10 +22,30 @@
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="blk_mem_gen_v6_2.xise"/>
<files xmlns="http://www.xilinx.com/XMLSchema">
<file xil_pn:fileType="FILE_USERDOC" xil_pn:name="blk_mem_gen_readme.txt" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="blk_mem_gen_v6_2.vho" xil_pn:origination="imported"/>
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="4883055629214282022" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="851143268618168456" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="-4914921300466099352" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
</transforms>
</generated_project>
......@@ -12,371 +12,32 @@
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
</header>
<version xil_pn:ise_version="13.1" xil_pn:schema_version="2"/>
<version xil_pn:ise_version="13.4" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="blk_mem_gen_v6_2.ngc" xil_pn:type="FILE_NGC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
</file>
<file xil_pn:name="blk_mem_gen_v6_2.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
</file>
</files>
<files/>
<properties>
<property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
<property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Clock Enable" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Input Limit (4-40)" xil_pn:value="32" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Pterm Limit (3-56)" xil_pn:value="28" xil_pn:valueState="default"/>
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Compile CPLD Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile uni9000 (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Default Powerup Value of Registers" xil_pn:value="Low" xil_pn:valueState="default"/>
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
<property xil_pn:name="Device" xil_pn:value="xc6slx45t" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Exhaustive Fit Mode" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Function Block Input Limit (4-40)" xil_pn:value="38" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Fit Power Data" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Fit Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="HDL Equations Style" xil_pn:value="Source" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
<property xil_pn:name="I/O Voltage Standard" xil_pn:value="LVCMOS18" xil_pn:valueState="default"/>
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Stop View" xil_pn:value="Structural" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Template" xil_pn:value="Optimize Density" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|blk_mem_gen_v6_2|blk_mem_gen_v6_2_a" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="blk_mem_gen_v6_2.vhd" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/blk_mem_gen_v6_2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Input and tristate I/O Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/>
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy CPLD" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Logic Optimization" xil_pn:value="Density" xil_pn:valueState="default"/>
<property xil_pn:name="Macro Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
<property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other CPLD Fitter Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Fit" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Place &amp; Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Programming Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Fit" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Timing Report Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="blk_mem_gen_v6_2" xil_pn:valueState="default"/>
<property xil_pn:name="Output Slew Rate" xil_pn:value="Fast" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
<property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="blk_mem_gen_v6_2_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="blk_mem_gen_v6_2_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="blk_mem_gen_v6_2_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="blk_mem_gen_v6_2_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Preserve Unused Inputs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retiming Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Fit" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Report Format" xil_pn:value="Summary" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
<property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Unused I/O Pad Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/>
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Fit" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Fit" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Data Gate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Direct Input for Input Registers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Clocks" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Output Enables" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Set/Reset" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Location Constraints" xil_pn:value="Always" xil_pn:valueState="default"/>
<property xil_pn:name="Use Multi-level Logic Optimization" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Timing Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="WYSIWYG" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
<property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
<property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="default"/>
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="XOR Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="blk_mem_gen_v6_2" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostFitSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2011-10-06T11:33:52" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="154FAA026ABEDC68F3BC7EABEA8B3BB2" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
......
......@@ -22,10 +22,30 @@
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="blk_mem_gen_v6_3.xise"/>
<files xmlns="http://www.xilinx.com/XMLSchema">
<file xil_pn:fileType="FILE_USERDOC" xil_pn:name="blk_mem_gen_readme.txt" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="blk_mem_gen_v6_3.vho" xil_pn:origination="imported"/>
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-8846568913394521400" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-5369246660214451990" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1390819957" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="-197801769365351158" xil_pn:start_ts="1390819957">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
</transforms>
</generated_project>
......@@ -12,371 +12,32 @@
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
</header>
<version xil_pn:ise_version="13.1" xil_pn:schema_version="2"/>
<version xil_pn:ise_version="13.4" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="blk_mem_gen_v6_3.ngc" xil_pn:type="FILE_NGC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
</file>
<file xil_pn:name="blk_mem_gen_v6_3.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
</file>
</files>
<files/>
<properties>
<property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
<property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Clock Enable" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Input Limit (4-40)" xil_pn:value="32" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Pterm Limit (3-56)" xil_pn:value="28" xil_pn:valueState="default"/>
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Compile CPLD Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile uni9000 (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Default Powerup Value of Registers" xil_pn:value="Low" xil_pn:valueState="default"/>
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
<property xil_pn:name="Device" xil_pn:value="xc6slx45t" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Exhaustive Fit Mode" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Function Block Input Limit (4-40)" xil_pn:value="38" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Fit Power Data" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Fit Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="HDL Equations Style" xil_pn:value="Source" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
<property xil_pn:name="I/O Voltage Standard" xil_pn:value="LVCMOS18" xil_pn:valueState="default"/>
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Stop View" xil_pn:value="Structural" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Template" xil_pn:value="Optimize Density" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|blk_mem_gen_v6_3|blk_mem_gen_v6_3_a" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="blk_mem_gen_v6_3.vhd" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/blk_mem_gen_v6_3" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Input and tristate I/O Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/>
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy CPLD" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Logic Optimization" xil_pn:value="Density" xil_pn:valueState="default"/>
<property xil_pn:name="Macro Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
<property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other CPLD Fitter Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Fit" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Place &amp; Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Programming Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Fit" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Timing Report Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="blk_mem_gen_v6_3" xil_pn:valueState="default"/>
<property xil_pn:name="Output Slew Rate" xil_pn:value="Fast" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
<property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="blk_mem_gen_v6_3_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="blk_mem_gen_v6_3_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="blk_mem_gen_v6_3_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="blk_mem_gen_v6_3_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Preserve Unused Inputs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retiming Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Fit" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Report Format" xil_pn:value="Summary" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
<property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Unused I/O Pad Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/>
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Fit" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Fit" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Data Gate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Direct Input for Input Registers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Clocks" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Output Enables" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Set/Reset" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Location Constraints" xil_pn:value="Always" xil_pn:valueState="default"/>
<property xil_pn:name="Use Multi-level Logic Optimization" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Timing Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="WYSIWYG" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
<property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
<property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="default"/>
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="XOR Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="blk_mem_gen_v6_3" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostFitSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2011-10-07T17:51:06" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="BA4DA6C5B8B3D7C97D43437C5B9115B5" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
......
SET busformat = BusFormatParenNotRipped
SET designentry = VHDL
SET device = xc6slx150t
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Synplicity
SET package = fgg900
SET package = fgg484
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
......@@ -114,6 +114,7 @@ entity data_formatting is
-- Signal to the irq_generator unit
tstamp_wr_p_o : out std_logic; -- pulse upon storage of a new tstamp
acam_channel_o : out std_logic_vector(2 downto 0); --
-- Signal to the reg_ctrl unit
wr_index_o : out std_logic_vector(31 downto 0)); -- index of last byte written
......@@ -457,6 +458,8 @@ begin
tstamp_wr_wb_cyc_o <= tstamp_wr_cyc;
tstamp_wr_wb_stb_o <= tstamp_wr_stb;
tstamp_wr_wb_we_o <= tstamp_wr_we;
acam_channel_o <= acam_channel;
end rtl;
----------------------------------------------------------------------------------------------------
......
......@@ -257,8 +257,9 @@ architecture rtl of fmc_tdc_core is
signal circ_buff_class_data_wr, circ_buff_class_data_rd : std_logic_vector(4*g_width-1 downto 0);
-- LEDs
signal fordebug : std_logic_vector(5 downto 0);
-- signal tdc_in_fpga_1, tdc_in_fpga_2, tdc_in_fpga_3 : std_logic_vector(1 downto 0);
-- signal tdc_in_fpga_4, tdc_in_fpga_5 : std_logic_vector(1 downto 0);
signal tdc_in_fpga_1, tdc_in_fpga_2, tdc_in_fpga_3 : std_logic_vector(1 downto 0);
signal tdc_in_fpga_4, tdc_in_fpga_5 : std_logic_vector(1 downto 0);
signal acam_channel : std_logic_vector(2 downto 0);
--=================================================================================================
......@@ -483,6 +484,7 @@ begin
one_hz_p_i => one_hz_p,
local_utc_i => local_utc,
tstamp_wr_p_o => tstamp_wr_p,
acam_channel_o => acam_channel,
wr_index_o => wr_index);
......@@ -544,6 +546,7 @@ begin
one_hz_p_i => one_hz_p,
acam_inputs_en_i => acam_inputs_en,
fordebug_i => fordebug,
tstamp_wr_p_i => tstamp_wr_p,
tdc_led_status_o => tdc_led_status_o,
tdc_led_trig1_o => tdc_led_trig1_o,
tdc_led_trig2_o => tdc_led_trig2_o,
......@@ -570,7 +573,8 @@ begin
-- end if;
-- end process;
-- fordebug <= '0' & tdc_in_fpga_5(1) & tdc_in_fpga_4(1) & tdc_in_fpga_3(1) & tdc_in_fpga_2(1) & tdc_in_fpga_1(1);
fordebug <= "000000";
--fordebug <= "000000";
fordebug <= "000" & acam_channel;
---------------------------------------------------------------------------------------------------
-- ACAM start_dis/ stop_dis, not used --
......
......@@ -90,6 +90,7 @@ entity leds_manager is
-- Signal for debugging
fordebug_i : in std_logic_vector(5 downto 0); -- for debugging, currently not used
tstamp_wr_p_i : in std_logic;
-- OUTPUTS
......@@ -109,10 +110,13 @@ end leds_manager;
--=================================================================================================
architecture rtl of leds_manager is
signal tdc_led_blink_done : std_logic;
signal visible_blink_length : std_logic_vector(g_width-1 downto 0);
-- signal rst_n, blink_led1, blink_led2 : std_logic;
-- signal blink_led3, blink_led4, blink_led5 : std_logic;
signal tdc_led_blink_done : std_logic;
signal visible_blink_length : std_logic_vector(g_width-1 downto 0);
signal rst_n, blink_led1, blink_led2 : std_logic;
signal ch1, ch2, ch3, ch4, ch5 : std_logic;
signal blink_led3, blink_led4, blink_led5 : std_logic;
signal tstamp_wr_p, blink_led : std_logic;
signal acam_channel : std_logic_vector(5 downto 0);
begin
......@@ -151,7 +155,7 @@ begin
-- TDC FRONT PANEL LEDs 2-6 --
---------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------
-- rst_n <= not(rst_i);
rst_n <= not(rst_i);
-- cmp_extend_ch1_pulse: gc_extend_pulse
-- generic map
......@@ -159,57 +163,160 @@ begin
-- port map
-- (clk_i => clk_i,
-- rst_n_i => rst_n,
-- pulse_i => fordebug_i(0),
-- pulse_i => acam_channel_i(0),
-- extended_o => blink_led1);
-- -- -- -- -- --
-- -- -- --
-- cmp_extend_ch2_pulse: gc_extend_pulse
-- generic map
-- (g_width => 5000000)
-- port map
-- (clk_i => clk_i,
-- rst_n_i => rst_n,
-- pulse_i => fordebug_i(1),
-- pulse_i => acam_channel_i(1),
-- extended_o => blink_led2);
-- -- -- -- -- --
-- -- -- --
-- cmp_extend_ch3_pulse: gc_extend_pulse
-- generic map
-- (g_width => 5000000)
-- port map
-- (clk_i => clk_i,
-- rst_n_i => rst_n,
-- pulse_i => fordebug_i(2),
-- pulse_i => acam_channel_i(2),
-- extended_o => blink_led3);
-- -- -- -- -- --
-- -- -- --
-- cmp_extend_ch4_pulse: gc_extend_pulse
-- generic map
-- (g_width => 5000000)
-- port map
-- (clk_i => clk_i,
-- rst_n_i => rst_n,
-- pulse_i => fordebug_i(3),
-- pulse_i => acam_channel_i(3),
-- extended_o => blink_led4);
-- -- -- -- -- --
-- -- -- --
-- cmp_extend_ch5_pulse: gc_extend_pulse
-- generic map
-- (g_width => 5000000)
-- port map
-- (clk_i => clk_i,
-- rst_n_i => rst_n,
-- pulse_i => fordebug_i(4),
-- pulse_i => acam_channel_i(4),
-- extended_o => blink_led5);
-- -- -- -- -- --
led_1to5_outputs: process (clk_i)
begin
if rising_edge (clk_i) then
tdc_led_trig1_o <= acam_inputs_en_i(0) and acam_inputs_en_i(7);-- and blink_led1;
tdc_led_trig2_o <= acam_inputs_en_i(1) and acam_inputs_en_i(7);-- and blink_led2;
tdc_led_trig3_o <= acam_inputs_en_i(2) and acam_inputs_en_i(7);-- and blink_led3;
tdc_led_trig4_o <= acam_inputs_en_i(3) and acam_inputs_en_i(7);-- and blink_led4;
tdc_led_trig5_o <= acam_inputs_en_i(4) and acam_inputs_en_i(7);-- and blink_led5;
tdc_led_trig1_o <= acam_inputs_en_i(0) and blink_led1;
tdc_led_trig2_o <= acam_inputs_en_i(1) and blink_led2;
tdc_led_trig3_o <= acam_inputs_en_i(2) and blink_led3;
tdc_led_trig4_o <= acam_inputs_en_i(3) and blink_led4;
tdc_led_trig5_o <= acam_inputs_en_i(4) and blink_led5;
end if;
end process;
input_pulse_synchronizer: process (clk_i)
begin
if rising_edge (clk_i) then
if rst_i = '1' then
acam_channel <= (others => '0');
tstamp_wr_p <= '0';
ch1 <= '0';
ch2 <= '0';
ch3 <= '0';
ch4 <= '0';
ch5 <= '0';
else
acam_channel <= fordebug_i;
tstamp_wr_p <= tstamp_wr_p_i;
if tstamp_wr_p = '1' and acam_inputs_en_i(7) = '1' then
if acam_channel(2 downto 0) = "000" then
ch1 <= '1';
ch2 <= '0';
ch3 <= '0';
ch4 <= '0';
ch5 <= '0';
elsif acam_channel(2 downto 0) = "001" then
ch1 <= '0';
ch2 <= '1';
ch3 <= '0';
ch4 <= '0';
ch5 <= '0';
elsif acam_channel(2 downto 0) = "010" then
ch1 <= '0';
ch2 <= '0';
ch3 <= '1';
ch4 <= '0';
ch5 <= '0';
elsif acam_channel(2 downto 0) = "011" then
ch1 <= '0';
ch2 <= '0';
ch3 <= '0';
ch4 <= '1';
ch5 <= '0';
else
ch1 <= '0';
ch2 <= '0';
ch3 <= '0';
ch4 <= '0';
ch5 <= '1';
end if;
else
ch1 <= '0';
ch2 <= '0';
ch3 <= '0';
ch4 <= '0';
ch5 <= '0';
end if;
end if;
end if;
end process;
cmp_extend_ch1_pulse: gc_extend_pulse
generic map
(g_width => 5000000)
port map
(clk_i => clk_i,
rst_n_i => rst_n,
pulse_i => ch1,
extended_o => blink_led1);
cmp_extend_ch2_pulse: gc_extend_pulse
generic map
(g_width => 5000000)
port map
(clk_i => clk_i,
rst_n_i => rst_n,
pulse_i => ch2,
extended_o => blink_led2);
cmp_extend_ch3_pulse: gc_extend_pulse
generic map
(g_width => 5000000)
port map
(clk_i => clk_i,
rst_n_i => rst_n,
pulse_i => ch3,
extended_o => blink_led3);
cmp_extend_ch4_pulse: gc_extend_pulse
generic map
(g_width => 5000000)
port map
(clk_i => clk_i,
rst_n_i => rst_n,
pulse_i => ch4,
extended_o => blink_led4);
cmp_extend_ch5_pulse: gc_extend_pulse
generic map
(g_width => 5000000)
port map
(clk_i => clk_i,
rst_n_i => rst_n,
pulse_i => ch5,
extended_o => blink_led5);
end rtl;
......
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$3ge40<,[o}e~g`n;"2*731&=$:,)<4-0;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?12924?OIX\^1mij}b);94,7238836D@_UU8gmkg/9;=1<$?8;00;>JSSX\^1hb{{a)313?6.9=1:87GAPTV9EABUI!;86='>4:37>LHW]]0JHI\M(0194,77380BB][[:vgb,5<7!8:0=7GAPTV9s`d/83:"=?5<2;KMTPR=L@K#?<4?)00877<NFY__6IGM(2394,743:81EC^ZT;fjjg.493:"=>5<2;MVPUSS2MEJ$>?50(30?64=G\^[YY4KOC*05?6.9<18>7AZTQWW>air|k"8=7>&1097>LHW]]0OE]O'3;2*54=32@D[YY4KIQ@+7?6.991?6D@_UU8TAD.429#:<6:5IORVP?QBJ!91<$?=;58LQQVR\3ND\L&<:1+26>2=G\^[YY4KOQ@+7?6.n2<x`u::tged0=2*:88047GAPTV9eabui!=1<$64AEFQE-6.02KOH_O'1(;8EABUI!;;%45NDEPB,47.12KOH_O'13+:?DBCZH":?$l4AEFQE-7429#37LJKR@*1-==FLMXJ$>'7;@FGVD.3!11JHI\N(4+;?DBCZH"=%55NDEPB,2/?3HNO^L&7)99B@ATF 0#37LJKRC*3-==FLMXI$<'6;@FGVG.68 30MIJ]B)32-<=FLMXI$<<&9:CG@WD/9:#i7LJKRC*27?6.02KOH_L'2(:8EABUJ!9"46OKDS@+0,><IMNYN%;&8:CG@WD/> 20MIJ]B)5*<>GCL[H#4$64AEFQF-?.;2KG@55MUR]JJCI53JO:>6MGEBI\HLEBFZOTXT^J4:AOO50<KEA:4=84CMI1353<KEA9T55LLJ0[5=623JF@5=:4CMIB1>EKCH;<7NBDA0F13>EKCH;O8:5LLJC2@=2<KEAI96MCKC36?FJLK8?0OAEK149@HNBQk2IGGIXPDHTJ@@3<KEAMT55LLJD[5=6>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EEa8@DRFW^COXEQNc:FBPDYPAM^CSO84DHC+4,0<L@K#=$94DHC+55/03MCJ$<?&7:FJE-75!>1OEL&>3(58@LG/9=#<7IGN(07*3>BNI!;=%:5KI@*23,1<L@K#=5'8;EKB,4?.>2NBM%<&7:FJE-47!>1OEL&=1(58@LG/:;#<7IGN(31*3>BNI!8?%:5KI@*11,1<L@K#>;'8;EKB,71.?2NBM%<7)69GMD.51 <0HDO'3(58@LG/;9#<7IGN(23*=>BNI!9:6='9;EKB,1/13MCJ$8'9;EKB,3/13MCJ$:'9;EKB,=/13MCJ$4'9;EKA,5/13MCI$<'8;EKA,46.?2NBN%?>)69GMG.6: =0HDL'12+4?AOE 8>";6JFB)36-2=CAK"::$94DH@+52/03MCI$<6&7:FJF-7>!?1OEO&=)69GMG.58 =0HDL'20+4?AOE ;8";6JFB)00-2=CAK"98$94DH@+60/03MCI$?8&7:FJF-40!>1OEO&=8(58@LD/:0#=7IGM(2+4?AOE ::";6JFB)12-<=CAK"8=7>&6:FJF-2.>2NBN%;&6:FJF-0.>2NBN%9&6:FJF->.>2NBN%7&7:FJTD.7!>1OE]O'1(58@LVF ;#<7IG_A)1*=>BNXH"86='8;EKSF-6.?2NB\O&>)69GMUD/: =0HD^M(2+:?AOWJ!91<$84DNC+4,0<LFK#=$94DNC+55/03MEJ$<?&7:FLE-75!>1OCL&>3(58@JG/9=#<7IAN(07*3>BHI!;=%:5KO@*23,1<LFK#=5'8;EMB,4?.>2NDM%<&7:FLE-47!>1OCL&=1(58@JG/:;#<7IAN(31*3>BHI!8?%:5KO@*11,1<LFK#>;'8;EMB,71.?2NDM%<7)69GKD.51 <0HBO'3(58@JG/;9#<7IAN(23*=>BHI!9:6='9;EMB,1/13MEJ$8'9;EMB,3/13MEJ$:'9;EMB,=/13MEJ$4'8;EMB[WC@>2NDN%>&6:FLF-7.?2NDN%??)69GKG.69 =0HBL'13+4?AIE 89";6J@B)37-2=CGK":9$94DN@+53/03MEI$<9&7:FLF-7?!>1OCO&>9(48@JD/: =0HBL'21+4?AIE ;;";6J@B)01-2=CGK"9?$94DN@+61/03MEI$?;&7:FLF-41!>1OCO&=7(58@JD/:1#<7IAM(3;*2>BHJ!9";6J@B)13-2=CGK"8=$74DN@+74<7!?1OCO&;)79GKG.2!?1OCO&9)79GKG.0!?1OCO&7)79GKG.>!>1OCOQ]EF58@JVF 9#<7IA_A)3*3>BHXH"9%:5KOQC+7,?<LFZJ$>4?)69GKUD/8 =0HB^M(0+4?AIWJ!8";6J@PC*0-<=CGYH#?7>&3:GME6=BFKh0ICQ]SUPBIZGe3LDT^^Z]AL]A1>@FDZO:7J=4GOF;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__154?LHN\V:;;6GAIU]352=NF@^T<?94IOKW[5503@DBXR>;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D1<AGC_S=L8;HLJPZ6D?2CEEYQ?D69JJLRX8L=0ECG[_1D4?LHN\V;;;6GAIU]252=NF@^T=?94IOKW[4503@DBXR?;7:KMMQY6=>1BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1<AGC_S<L8;HLJPZ7D?2CEEYQ>D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D1<AGC_S?L8;HLJPZ4D?2CEEYQ=D69JJLRX:L=0ECG[_3D4?LHN\V9;;6GAIU]052=NF@^T??94IOKW[6503@DBXR=;7:KMMQY4=>1BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1<AGC_S>L8;HLJPZ5D?2CEEYQ<D69JJLRX;L=0ECG[_2D5?LHN\VK=7D@FT^@:?LHN\VLB@H84LNCGAA0<DFI@II94LNEJGDJd3DkacXjrrkljf=JageyZh||inl1?K703GO_[B\D4:LLJ@><G'==tiQC4:RBVQg<X@DTNX]FDY`8TLHXJ\YEM@K9;QQGKKCf3[KFN<8MWDP5?WC@KLK=7_KHCD@7?WUSI=1Y_YL8;SQWP\VBm2XXXR^]INPJP\YFm2XXXR^]INPJP\YE981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PN=>5\IL]@KIJN[@EESNFJCJc8WLKXLL\BOH84SNWQG@><[YKYXL@97:QQRDJXI>1X^[OC_C48WQGS]Z>0_T@L4:VZT@143\:$t~zPbmi\i`kXoldn~lz`r.emeqoqmkgl"co{iwg\fimXelgTkh` v`nj`+et|{%ym`b`oqY3Y+tfe'x$;Qaohljp+tfe&^YYHQKP/RQMH7?&{kf;>5Z0.zppZdkcVgnaRijndpbpjt(ogke{kmmf,meqoqmVhggRcjm^efj*pfd`n%o~z}/scnhjiwS8W%~lc!r.q5[kinf`~%~lc TSWF[AV)X[CF=5 }al50?P6(pz~TnaePmdo\c`hbzh~d~"iaaukuagk`&gke{kPbmi\i`kXold$zlbfd/appw)uidfdc}U=]/pbi+t({?Uecd`ft/pbi*RU]LUO\#^]IL3;*wgj?:1^<"v|t^`ooZkbeVmnbh|ntnp,ckgsaoiaj aaukuaZdkcVgnaRijn.tbhlb)kz~y#oblnms_6[)zhg%~"}9_omjjlr)zhg$X_[J_ER-TWOJ91$ym`m4URGQ[SOTAKFN56XFEV]W]UC13^OJ$='9;VGB,4/13^OJ$?'9;VGB,6/?3^OJ$>4?)79TAG.7!?1\IO&>)79TAG.5!?1\IO&<)99TAG.429#i7Z\FTD]EMWUSk2]YEYKPMNFF[De<_[C_IRC@DD]A5a=_AECET VKB!2-5%US]K*;"<.NSBKJ2>^T\ECI;6V\T^EM@g=_WJEYIRGAFN48\adXAml0TifPPsknR`ttafd:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n29[wq5<Qly37ljkr`*3-==flmxj$<'7;`fgvd.5!11jhi|n(2+;?dbczh"?%55ndepb,0/?3hno~l&9)99b`atf >#j7ljkr`*4>5/?3hno~o&?)99b`ate 8#37ljkrc*1-==flmxi$>'7;`fgvg.3!11jhi|m(4+;?dbczk"=%55ndepa,2/?3hno~o&7)99b`ate 0#j7ljkrc*:>5/6>2hggRcjm^ajvfYe}olTz;Q;4e58fimXelgTod|l_cwebZp1W=&i`fQbel]dakYq>V8'wnQndepb[roc|a73 nQndepa[roc|a7:<!mPamelvlroe4;'oRowi^kg[roc|a7? nQnxh]phdpbW}s{i0>#c^c{mZr~xl7: nQmyug\wl|b50&hSnabmnl\gim:8%iThhhnumv\`drf59&hSig|acnf[rgufVhczRm`lm?3(fYcazki`hQxasl\fmpXzhdli0>#c^goegiui}cdbRjfr<-bbv)dm{d%oe!jnf-f`d)dlzU~h||/fdfvkgil&zycgkltr-w`f)tzj%b|Rm`rdq,i`kXkfxn#yc/^ad+)eXnhgb`t3|p`pwek0+kVbjRowi^kg95*dWakxSh`n=0.`[mgtWldi1<"l_icp[lhmmj~n~3?,b]kevYjmdUdyy~zt^pfcvYf59&hSeo|_lgn[jssx|~T~hi|_c?2(fYoizUfyuQ`uurvpZtbozUj1="l_icp[hsWf|xzPrdep[g;7$jUcm~Q}efafe86+kVbjR|jgbga95*dWakxS}{a<2/gZnf{Vxxxo3?,b]kevYtgo~nonQfnqww[wc`{Vk6<!mPh`q\wj`smjiTcxzuu]qabuXj4:'oRgaiu]emicXfhgn1caPcng\bljbWeejhhj#c^kmmqgX~hf6<!mPiokwfZpfd4:'oRgatdpeefcX|pzn1="l_mmb`Zoia}Umeak20-a\i`kX|pzn1?"l_lw{[uowmeceiR}{afgp95*dWyxbaRzvpd?2(fYumhnThh~{h^c>600+kVxnmiQkeqvk[g;68;>'oR|jae]tmaroWh7:>5"l_sgb`Zqnl}bTn0==,b]qwqYwz`eyeywPa<AF(fYu{}U{~da}iu{\f8EB$jUyyQ{yqg>W\HD$jUyy|nm^c>4)eXzz~ym`Qm=1.`[vojWjeg`d}foo]`l`el5HFG nQzsd]a}qcXlk6<!mPurg\f|rbW~oi1="l_tqf[acai|fSio{a<2/gZstmVoho0>#c^wpaZuhn}oho0>#c^ufeZqnl}b6=!mPwd`\slbs`4;'oRy}iug\``vs`Vk6>88#c^uqmqcXllzdRl21107(fYpz`~nS`ake^c>SWOSMVLB^^Z#c^uqmqcXefnnSo3XRHVF[COU[]&hSz|ftd]tmaroWh7:>5"l_vpjp`Ypam~cSo3<2-a\|acqajomm`gcy<qsewrff?w9m6lck^ofiZenzjUiykhPv7]7(gjlWdofSjka_w4\6)}z911i`fQbel]dakY`mgoyenQlsup2<>dkcVgnaRijn^rqmhYpam~cS<?7;cnh[hcjWnoeS}|fm^uj`qnX:820naePmdo\c`hXx{cfSzgkti]05==edbUfi`Qheo]svlkX`ndR:>d:`ooZkbeVmnbR~}il]tvdvwm{Ux:R?>d:`ooZkbeVmnbR~}il]tvdvwm{Ux:R<>d:`ooZkbeVmnbR~}il]tvdvwm{Ux:R=>d:`ooZkbeVmnbR~}il]tvdvwm{Ux:R:i;cnh[hcjWnoeSya119ahnYjmdUlicQy6^01g>dkcVgnaRijn^t5[7*'P`fbbu.LOSG#C`hbzh~d~-?<.237?gjlWdofSjka_w4\6Z~t|890naePmdo\mkvr|Vhgcne>4:`ooZkbeVe~x}{{_cnlgn2<keaj86mckc58`lhf 9#<7igaa)3*<>bnfh":<$74dhlb,467!01oeco'113*=>bnfh":<?'6;ekme-77; 30hd`n(027-<=cagk#==;&9:fjjd.68?#27igaa)333,?<l`dj$<>7)89gmkg/993"46jfn`*25,?<l`dj$<??)89gmkg/98;"56jfn`*257/>3mcem%?>3(;8`lhf 8;?%45kioc+543.12nbbl&>17+:?aoii!;:;$74dhlb,47?!01oeco'10;*<>bnfh":>$74dhlb,447!01oeco'133*=>bnfh":>?'6;ekme-75; 30hd`n(007-<=cagk#=?;&9:fjjd.6:?#27igaa)313,d<l`dj$<<8:1+;?aoii!;8%55kioc+51/?3mcem%?:)99gmkg/9?#37igaa)34-==cagk#=5'7;ekme-7>!>1oeco'2(:8`lhf ;:"46jfn`*15,><l`dj$?<&8:fjjd.5; 20hd`n(36*<>bnfh"99$64dhlb,70.02nbbl&=7(:8`lhf ;2"46jfn`*1=,1<l`dj$>'7;ekme-57!11oeco'30+;?aoii!99%55kioc+76/?3mcem%=;)99gmkg/;<#37igaa)15-==cagk#?:'7;ekme-5?!11oeco'38+4?aoii!>"46jfn`*74,><l`dj$9?&8:fjjd.3: 20hd`n(51*<>bnfh"?8$64dhlb,13.02nbbl&;6(:8`lhf =="46jfn`*7<,><l`dj$97&7:fjjd.2!11oeco'51+;?aoii!?:%55kioc+17/?3mcem%;<)99gmkg/==#37igaa)76-==cagk#9;'7;ekme-30!11oeco'59+;?aoii!?2%:5kioc+2,><l`dj$;>&8:fjjd.19 20hd`n(70*<>bnfh"=?$64dhlb,32.02nbbl&95(:8`lhf ?<"46jfn`*53,><l`dj$;6&8:fjjd.11 =0hd`n(6+;?aoii!=;%55kioc+34/?3mcem%9=)99gmkg/?:#37igaa)57-==cagk#;8'7;ekme-11!11oeco'76+;?aoii!=3%55kioc+3</03mcem%6&8:fjjd.?8 20hd`n(93*<>bnfh"3>$64dhlb,=5.02nbbl&74(:8`lhf 1?"46jfn`*;2,><l`dj$59&8:fjjd.?0 20hd`n(9;*3>bnfh"2%55kioc+=5/?3mcem%7>)99gmkg/1;#37igaa);0-==cagk#59'7;ekme-?2!11oeco'97+;?aoii!3<%55kioc+==/?3mcem%76)69gmkd/8 =0hd`m(0+;?aoij!;;%55kio`+54/?3mcen%?=)99gmkd/9:#37igab)37-==cagh#=8'7;ekmf-71!11oecl'16+;?aoij!;3%55kio`+5</03mcen%<&8:fjjg.58 20hd`m(33*<>bnfk"9>$64dhla,75.02nbbo&=4(:8`lhe ;?"46jfnc*12,><l`di$?9&8:fjjg.50 20hd`m(3;*3>bnfk"8%55kio`+75/?3mcen%=>)`9gmkd/;80;%:5kio`+0,1<l`di$8'8;ekmf-0.?2nbbo&8)69gmkd/0 =0hd`m(8+;?air|h";%55kotvb,4/>3me~xl&>0(c8`jssi!;;<$o4dnwwe-779 k0hb{{a)336,g<lfm%??3(c8`jssi!;;8$o4dnwwe-77= k0hb{{a)332,g<lfm%??7(c8`jssi!;;4$o4dnwwe-771 30hb{{a)32-d=cg|~j$<??)`9gkprf 8;:%l5kotvb,475!h1ocxzn(030-d=cg|~j$<?;)`9gkprf 8;>%l5kotvb,471!h1ocxzn(034-d=cg|~j$<?7)`9gkprf 8;2%45kotvb,44.i2ndyyo'132*e>bh}}k#=??&a:flqqg/9;8"m6j`uuc+575.i2ndyyo'136*e>bh}}k#=?;&a:flqqg/9;<"m6j`uuc+571.k2ndyyo'13594,?<lfm%?<)89gkprf 8>"56j`uuc+50/>3me~xl&>6(;8`jssi!;<%45kotvb,4>.12ndyyo'18+;?air|h"9%45kotvb,76.12ndyyo'20+:?air|h"9>$74dnwwe-44!01ocxzn(36*=>bh}}k#>8'6;emvpd.5> 30hb{{a)04-<=cg|~j$?6&9:flqqg/:0#37iazt`*0-<=cg|~j$>>&9:flqqg/;8#27iazt`*06,?<lfm%=<)89gkprf :>"56j`uuc+70/>3me~xl&<6(;8`jssi!9<%45kotvb,6>.12ndyyo'38+;?air|h"?%45kotvb,16.12ndyyo'40+:?air|h"?>$74dnwwe-24!01ocxzn(56*=>bh}}k#88'6;emvpd.3> 30hb{{a)64-<=cg|~j$96&9:flqqg/<0#37iazt`*6-<=cg|~j$8>&9:flqqg/=8#27iazt`*66,?<lfm%;<)89gkprf <>"56j`uuc+10/>3me~xl&:6(;8`jssi!?<%45kotvb,0>.12ndyyo'58+;?air|h"=%45kotvb,36.12ndyyo'60+:?air|h"=>$74dnwwe-04!01ocxzn(76*=>bh}}k#:8'6;emvpd.1> 30hb{{a)44-<=cg|~j$;6&9:flqqg/>0#37iazt`*4-<=cg|~j$:>&9:flqqg/?8#27iazt`*46,?<lfm%9<)89gkprf >>"56j`uuc+30/>3me~xl&86(;8`jssi!=<%45kotvb,2>.12ndyyo'78+;?air|h"3%45kotvb,=6.12ndyyo'80+:?air|h"3>$74dnwwe->4!01ocxzn(96*=>bh}}k#48'6;emvpd.?> 30hb{{a):4-<=cg|~j$56&9:flqqg/00#37iazt`*:-<=cg|~j$4>&9:flqqg/18#27iazt`*:6,?<lfm%7<)89gkprf 0>"56j`uuc+=0/>3me~xl&66(;8`jssi!3<%45kotvb,<>.12ndyyo'98+;?air|k";%55kotva,4/>3me~xo&>0(;8`jssj!;:%45kotva,44.12ndyyl'12+:?air|k":8$74dnwwf-72!01ocxzm(04*=>bh}}h#=:'6;emvpg.60 30hb{{b)3:-==cg|~i$?'6;emvpg.58 30hb{{b)02-<=cg|~i$?<&9:flqqd/::#27iaztc*10,?<lfn%<:)89gkpre ;<"56j`uu`+62/>3me~xo&=8(;8`jssj!82%55kotva,6/>3me~xo&<0(;8`jssj!9:%o5kotva,67=8 20hb{{b)6*<>bh}}h#9$64dnwwf-0.02ndyyl'7(:8`jssj!2"46j`uu`+=,5<mgk87h`m8:ldggsndm<0{ho'0(:8s`g/83:":6yjb)2*<>qbj!:1<$sO@q53f>FGp83;6K4;:0yP15<6?h0:8:4>33ffbc<5?1nnwc?88;38j41>2?1/=:851608yV2b28=j6<:8:011```a2;=3i;5\12d96`g=83;8>ikif;04<`c<[=o1>ho50;306acan38<4k?4d0;3>5<628qX9=4>7`8202<6;;nnjk4=79g1?sR6=10;6<4>:07:V3728=j6<:8:011```a2;=3i?5+1769517<^8=<6?uz15095>s6<:0;7p*>49823>d6190;6<;7:4821<}O9?90(<;j:0;3?_5?21q26<655;c90?b=>3;;6p*>7482=`=#;h0:5?5+4g82=4=#9<?1=6*>55823g=n:lh1<7*>4`81af=i9=31<65f19194?"6<h0:495a15;94>=n9181<7*>4`82<1=i9=31=65f19394?"6<h0:495a15;96>=n91:1<7*>4`82<1=i9=31?65f16d94?"6<h0:495a15;90>=n9>o1<7*>4`82<1=i9=31965f16f94?"6<h0:495a15;92>=n9>i1<7*>4`82<1=i9=31;65f1b694?"6<h0:o85a15;94>=n9j91<7*>4`82g0=i9=31=65f1b094?"6<h0:o85a15;96>=n9j;1<7*>4`82g0=i9=31?65f1b294?"6<h0:o85a15;90>=n9kl1<7*>4`82g0=i9=31965f1cg94?"6<h0:o85a15;92>=n9kn1<7*>4`82g0=i9=31;65f1c`94?"6<h0:o85a15;9<>=n9kk1<7*>4`82g0=i9=31565f1c;94?"6<h0:o85a15;9e>=n9k21<7*>4`82g0=i9=31n65f1c594?"6<h0:o85a15;9g>=n9k<1<7*>4`82g0=i9=31h65f1c794?"6<h0:o85a15;9a>=n9k>1<7*>4`82g0=i9=31j65f1c194?"6<h0:o85a15;955=<a8h96=4+15c95f3<f8>26<?4;h3a4?6=,8>j6<m:;o37=?7532c:mk4?:%37e?7d=2d:844>3:9j5dc=83.:8l4>c49m51?=9=10e<ok:18'51g=9j?0b<:6:078?l7fk3:1(<:n:0a6?k7313;=76g>ac83>!73i3;h96`>48823>=n9hk1<7*>4`82g0=i9=31=554i0c:>5<#9=k1=n;4n06:>4?<3`;j47>5$06b>4e23g;?57?n;:k2e2<72-;?m7?l5:l20<<6j21b>?:50;&20d<6k<1e=9751b98m744290/=9o51b78j42>28n07d<=2;29 42f28i>7c?;9;3f?>o5:80;6)?;a;3`1>h6<00:j65f23294?"6<h0:o85a15;965=<a;;m6=4+15c95f3<f8>26??4;h02a?6=,8>j6<m:;o37=?4532c9=i4?:%37e?7d=2d:844=3:9j64e=83.:8l4>c49m51?=:=10e??m:18'51g=9j?0b<:6:378?l4613:1(<:n:0a6?k73138=76g=1983>!73i3;h96`>48813>=n:8=1<7*>4`82g0=i9=31>554i335>5<#9=k1=n;4n06:>7?<3`8:97>5$06b>4e23g;?57<n;:k151<72-;?m7?l5:l20<<5j21b><=50;&20d<6k<1e=9752b98m775290/=9o51b78j42>2;n07d<>1;29 42f28i>7c?;9;0f?>o5990;6)?;a;3`1>h6<009j65f21g94?"6<h0:o85a15;975=<a;:o6=4+15c95f3<f8>26>?4;h03g?6=,8>j6<m:;o37=?5532c9<o4?:%37e?7d=2d:844<3:9j65g=83.:8l4>c49m51?=;=10e?>6:18'51g=9j?0b<:6:278?l4703:1(<:n:0a6?k73139=76g=0683>!73i3;h96`>48803>=n:9<1<7*>4`82g0=i9=31?554i326>5<#9=k1=n;4n06:>6?<3`8;?7>5$06b>4e23g;?57=n;:k147<72-;?m7?l5:l20<<4j21b>=?50;&20d<6k<1e=9753b98m767290/=9o51b78j42>2:n07d?if;29 42f28i>7c?;9;1f?>o6nl0;6)?;a;3`1>h6<008j65f1gf94?"6<h0:o85a15;905=<a8lh6=4+15c95f3<f8>269?4;h3ef?6=,8>j6<m:;o37=?2532c:jl4?:%37e?7d=2d:844;3:9j5c>=83.:8l4>c49m51?=<=10e<h8:18'51g=9j?0b<:6:578?l7a>3:1(<:n:0a6?k7313>=76g>f483>!73i3;h96`>48873>=n9o>1<7*>4`82g0=i9=318554i0d0>5<#9=k1=n;4n06:>1?<3`;m>7>5$06b>4e23g;?57:n;:k2b4<72-;?m7?l5:l20<<3j21b=k>50;&20d<6k<1e=9754b98m4ca290/=9o51b78j42>2=n07d?jd;29 42f28i>7c?;9;6f?>o6mj0;6)?;a;3`1>h6<00?j65f1d`94?"6<h0:o85a15;915=<a8oj6=4+15c95f3<f8>268?4;h3f=?6=,8>j6<m:;o37=?3532c:i54?:%37e?7d=2d:844:3:9j5`1=83.:8l4>c49m51?===10e<k9:18'51g=9j?0b<:6:478?l7b=3:1(<:n:0a6?k7313?=76g>e583>!73i3;h96`>48863>=n9l81<7*>4`82g0=i9=319554i0g2>5<#9=k1=n;4n06:>0?<3`;n<7>5$06b>4e23g;?57;n;:k2`c<72-;?m7?l5:l20<<2j21b=ik50;&20d<6k<1e=9755b98m4bc290/=9o51b78j42>2<n07d?kc;29 42f28i>7c?;9;7f?>o6lk0;6)?;a;3`1>h6<00>j65f1ec94?"6<h0:o85a15;925=<a8n26=4+15c95f3<f8>26;?4;h3g3?6=,8>j6<m:;o37=?0532c:h;4?:%37e?7d=2d:84493:9j5a3=83.:8l4>c49m51?=>=10e<j;:18'51g=9j?0b<:6:778?l7c;3:1(<:n:0a6?k7313<=76g>d383>!73i3;h96`>48853>=n9m;1<7*>4`82g0=i9=31:554i0f3>5<#9=k1=n;4n06:>3?<3`;hj7>5$06b>4e23g;?578n;:k2g`<72-;?m7?l5:l20<<1j21b=nm50;&20d<6k<1e=9756b98m4ee290/=9o51b78j42>2?n07d?la;29 42f28i>7c?;9;4f?>o6k00;6)?;a;3`1>h6<00=j65f1b:94?"6<h0:o85a15;935=<a8i<6=4+15c95f3<f8>26:?4;h3`2?6=,8>j6<m:;o37=?1532c:nn4?:%37e?7d=2d:84483:9j5g7=83.:8l4>c49m51?=?=10e<o9:18'51g=9j?0b<:6:678?l46i3:1(<:n:0a6?k7313==76g=0g83>!73i3;h96`>48843>=n:9>1<7*>4`82g0=i9=31;554i0d:>5<#9=k1=n;4n06:>2?<3`;ni7>5$06b>4e23g;?579n;:k2a6<72-;?m7?l5:l20<<0j21b=i650;&20d<6k<1e=9757b98m4ec290/=9o51b78j42>2>n07d?n5;29 42f28i>7c?;9;5f?>o6i=0;6)?;a;3`1>h6<00<j65f2df94?"6<h09ih5a15;94>=n91o1<7*>4`82<c=i9=31<65f19f94?"6<h0:4k5a15;95>=n91i1<7*>4`82<c=i9=31>65f19`94?"6<h0:4k5a15;97>=n91k1<7*>4`82<c=i9=31865f19;94?"6<h0:4k5a15;91>=n9121<7*>4`82<c=i9=31:65f19594?"6<h0:4k5a15;93>=n91<1<7*>4`82<c=i9=31465f19794?"6<h0:4k5a15;9=>=n::o1<7*>4`817c=i9=31<65f22f94?"6<h09?k5a15;95>=n::h1<7*>4`817c=i9=31>65f22c94?"6<h09?k5a15;97>=n::31<7*>4`817c=i9=31865f22:94?"6<h09?k5a15;91>=n::=1<7*>4`817c=i9=31:65f22494?"6<h09?k5a15;93>=n::?1<7*>4`817c=i9=31465f22694?"6<h09?k5a15;9=>=n::91<7*>4`817c=i9=31m65f22094?"6<h09?k5a15;9f>=n:::1<7*>4`817c=i9=31o65f23d94?"6<h09?k5a15;9`>=n:;o1<7*>4`817c=i9=31i65f23f94?"6<h09?k5a15;9b>=n:;i1<7*>4`817c=i9=31==54i30a>5<#9=k1>>h4n06:>47<3`89m7>5$06b>75a3g;?57?=;:k16<<72-;?m7<<f:l20<<6;21b>?650;&20d<5;o1e=9751598m740290/=9o522d8j42>28?07d<;5;29 42f2;9m7c?;9;35?>o5<=0;6)?;a;00b>h6<00:;65f25194?"6<h09?k5a15;95==<a;>96=4+15c966`<f8>26<74;h075?6=,8>j6?=i;o37=?7f32c98=4?:%37e?44n2d:844>b:9j66e=83.:8l4=3g9m51?=9j10e?=>:18'51g=::l0b<:6:0f8?l45>3:1(<:n:31e?k7313;n76g=2483>!73i388j6`>4882b>=n9h81<75f2d:94?=n9h91<75f2d;94?=h:?<1<7*>4`8122=i9=31<65`27794?"6<h09::5a15;95>=h:?>1<7*>4`8122=i9=31>65`27194?"6<h09::5a15;97>=h:?81<7*>4`8122=i9=31865`27394?"6<h09::5a15;91>=h:?:1<7*>4`8122=i9=31:65`24d94?"6<h09::5a15;93>=h:<n1<7*>4`8122=i9=31465`24a94?"6<h09::5a15;9=>=h:<h1<7*>4`8122=i9=31m65`24c94?"6<h09::5a15;9f>=h:<31<7*>4`8122=i9=31o65`24:94?"6<h09::5a15;9`>=h:<=1<7*>4`8122=i9=31i65`24494?"6<h09::5a15;9b>=h:<?1<7*>4`8122=i9=31==54o377>5<#9=k1>;94n06:>47<3f8>>7>5$06b>7003g;?57?=;:m114<72-;?m7<97:l20<<6;21d>8>50;&20d<5>>1e=9751598k72a290/=9o52758j42>28?07b<;e;29 42f2;<<7c?;9;35?>i5<m0;6)?;a;053>h6<00:;65`25a94?"6<h09::5a15;95==<g;>i6=4+15c9631<f8>26<74;n07e?6=,8>j6?88;o37=?7f32e9844?:%37e?41?2d:844>b:9l6f0=83.:8l4=669m51?=9j10c?m::18'51g=:?=0b<:6:0f8?j4d<3:1(<:n:344?k7313;n76a=c283>!73i38=;6`>4882b>=h:j81<7*>4`8122=i9=31>=54o3a2>5<#9=k1>;94n06:>77<3f8h<7>5$06b>7003g;?57<=;:m1fc<72-;?m7<97:l20<<5;21d>ok50;&20d<5>>1e=9752598k7dc290/=9o52758j42>2;?07b<mb;29 42f2;<<7c?;9;05?>i5jh0;6)?;a;053>h6<009;65`2c;94?"6<h09::5a15;96==<g;h36=4+15c9631<f8>26?74;n0a3?6=,8>j6?88;o37=?4f32e9n;4?:%37e?41?2d:844=b:9l6g3=83.:8l4=669m51?=:j10c?l;:18'51g=:?=0b<:6:3f8?j4e;3:1(<:n:344?k73138n76a=b383>!73i38=;6`>4881b>=h:k:1<7*>4`8122=i9=31?=54o3ce>5<#9=k1>;94n06:>67<3f8ji7>5$06b>7003g;?57==;:m1ea<72-;?m7<97:l20<<4;21d>lm50;&20d<5>>1e=9753598k7ge290/=9o52758j42>2:?07b<na;29 42f2;<<7c?;9;15?>i5i00;6)?;a;053>h6<008;65`2`:94?"6<h09::5a15;97==<g;k<6=4+15c9631<f8>26>74;n0b1?6=,8>j6?88;o37=?5f32e9m94?:%37e?41?2d:844<b:9l6d5=83.:8l4=669m51?=;j10c?o=:18'51g=:?=0b<:6:2f8?j4f93:1(<:n:344?k73139n76a=a183>!73i38=;6`>4880b>=h:0l1<7*>4`8122=i9=318=54o3;f>5<#9=k1>;94n06:>17<3f82h7>5$06b>7003g;?57:=;:m1=f<72-;?m7<97:l20<<3;21d>4o50;&20d<5>>1e=9754598k7?>290/=9o52758j42>2=?07b<68;29 42f2;<<7c?;9;65?>i51>0;6)?;a;053>h6<00?;65`28494?"6<h09::5a15;90==<g;3>6=4+15c9631<f8>26974;n0:0?6=,8>j6?88;o37=?2f32e95>4?:%37e?41?2d:844;b:9l6<4=83.:8l4=669m51?=<j10c?7>:18'51g=:?=0b<:6:5f8?j4?n3:1(<:n:344?k7313>n76a=8d83>!73i38=;6`>4887b>=h:1n1<7*>4`8122=i9=319=54o3:`>5<#9=k1>;94n06:>07<3f83n7>5$06b>7003g;?57;=;:m1<d<72-;?m7<97:l20<<2;21d>5750;&20d<5>>1e=9755598k7>?290/=9o52758j42>2<?07b<77;29 42f2;<<7c?;9;75?>i50?0;6)?;a;053>h6<00>;65`29694?"6<h09::5a15;91==<g;286=4+15c9631<f8>26874;n0;6?6=,8>j6?88;o37=?3f32e94<4?:%37e?41?2d:844:b:9l6=6=83.:8l4=669m51?==j10c?9i:18'51g=:?=0b<:6:4f8?j40m3:1(<:n:344?k7313?n76a=7e83>!73i38=;6`>4886b>=h:>i1<7*>4`8122=i9=31:=54o35a>5<#9=k1>;94n06:>37<3f8<57>5$06b>7003g;?578=;:m13=<72-;?m7<97:l20<<1;21d>:950;&20d<5>>1e=9756598k711290/=9o52758j42>2??07b<85;29 42f2;<<7c?;9;45?>i5?=0;6)?;a;053>h6<00=;65`26194?"6<h09::5a15;92==<g;=96=4+15c9631<f8>26;74;n045?6=,8>j6?88;o37=?0f32e9;=4?:%37e?41?2d:8449b:9l63c=83.:8l4=669m51?=>j10c?8k:18'51g=:?=0b<:6:7f8?j41k3:1(<:n:344?k7313<n76a=6c83>!73i38=;6`>4885b>=h:?k1<7*>4`8122=i9=31;=54o34:>5<#9=k1>;94n06:>27<3f8=47>5$06b>7003g;?579=;:m11`<72-;?m7<97:l20<<0;21d>8=50;&20d<5>>1e=9757598k72?290/=9o52758j42>2>?07b<mc;29 42f2;<<7c?;9;55?>i5j80;6)?;a;053>h6<00<;65`2`494?"6<h09::5a15;93==<g;3i6=4+15c9631<f8>26:74;n0:4?6=,8>j6?88;o37=?1f32e9484?:%37e?41?2d:8448b:9l62g=83.:8l4=669m51?=?j10c?8i:18'51g=:?=0b<:6:6f8?j43?3:1(<:n:344?k7313=n76a=4783>!73i38=;6`>4884b>=h:l:1<7*>4`81a4=i9=31<65`2ed94?"6<h09i<5a15;95>=h:mn1<7*>4`81a4=i9=31>65`2ea94?"6<h09i<5a15;97>=h:mh1<7*>4`81a4=i9=31865`2ec94?"6<h09i<5a15;91>=h:m31<7*>4`81a4=i9=31:65`2e:94?"6<h09i<5a15;93>=h:m=1<7*>4`81a4=i9=31465`2e494?"6<h09i<5a15;9=>=h:m?1<7*>4`81a4=i9=31m65`2e694?"6<h09i<5a15;9f>=h:m81<7*>4`81a4=i9=31o65`2e394?"6<h09i<5a15;9`>=h:m:1<7*>4`81a4=i9=31i65`2bd94?"6<h09i<5a15;9b>=h:jo1<7*>4`81a4=i9=31==54o3ag>5<#9=k1>h?4n06:>47<3f8ho7>5$06b>7c63g;?57?=;:m1gg<72-;?m7<j1:l20<<6;21d>no50;&20d<5m81e=9751598k7e>290/=9o52d38j42>28?07b<j7;29 42f2;o:7c?;9;35?>i5m?0;6)?;a;0f5>h6<00:;65`2d794?"6<h09i<5a15;95==<g;o?6=4+15c96`7<f8>26<74;n0f7?6=,8>j6?k>;o37=?7f32e9i?4?:%37e?4b92d:844>b:9l6ac=83.:8l4=e09m51?=9j10c?j<:18'51g=:l;0b<:6:0f8?j4d03:1(<:n:3g2?k7313;n76a=c683>!73i38n=6`>4882b>=e9>>1<7?50;2x 43b2o90D<9<;I357>ia:3:17pl>5g83>ge=83:p(<;j:06`?M70;2B::>5U398`2<?28;1=84>2;35>45=m3i1j7?;:c8~j07=:=1e9?46;oa3>5=im;0:7)ki:g38 c6=n81/j94<;%d6>6=#n?087)h8:29'b=<43-l26>5+f`80?!`e2:1/jn4<;%dg>6=#nl087)hi:29'556=;2.:<<4<;%336?5<,8:86>5+11697>"68<087)??6;18 4602:1/==653:&24<<43-;;m7=4$02a>6=#99i1?6*>0e80?!77m390(<>i:29'546=;2.:=<4<;%326?5<,8;86>5+10697>"69<087)?>6;18 4702:1/=<653:&25<<43-;:m7=4$03a>6=#98i1?6*>1e80?!76m390(<?i:29'576=;2.:><4<;%316?5<,8886>5+13697>"6:<087)?=6;18 4402:1/=?653:&26<<43-;9m7=4$00a>6=#9;i1?6*>2e80?!75m390(<<i:29'566=;2.:?<4<;%306?5<,8986>5+12697>"6;<087)?<6;18 4502:1/=>653:&27<<43-;8m7=4$01a>6=#9:i1>6*>3e81?!74m3;?:6*>50821a=#9<81?45+14197<=#9<<1?:5+145950?<,8?j6?5+14`96>"6?90::k5+163953`<a8>o6=44i5394?=nml0;66g>5183>>o3>3:17d?;e;29?l73n3:17dkk:188m<>=83.:8l469:l20<<732c2;7>5$06b><?<f8>26<54i8494?"6<h0256`>4881?>o>=3:1(<:n:8;8j42>2:10e<87:18'51g=9?30b<:6:198m400290/=9o517;8j42>2810e<89:18'51g=9?30b<:6:398m402290/=9o517;8j42>2:10co650;&20d<e12d:844?;:ma3?6=,8>j6o74n06:>4=<gk?1<7*>4`8a=>h6<00976am4;29 42f2k30b<:6:298kg5=83.:8l4m9:l20<<332ei>7>5$06b>g?<f8>26854oc394?"6<h0i56`>4885?>ie83:1(<:n:c;8j42>2>10clh50;&20d<e12d:8447;:mba?6=,8>j6o74n06:><=<ghn1<7*>4`8a=>h6<00j76anc;29 42f2k30b<:6:c98kdg=83.:8l4m9:l20<<d32ej57>5$06b>g?<f8>26i54o`:94?"6<h0i56`>488f?>if?3:1(<:n:c;8j42>2o10cl850;&20d<e12d:844>0:9le0<72-;?m7l6;o37=?7632ej87>5$06b>g?<f8>26<<4;nc0>5<#9=k1n45a15;956=<gh81<7*>4`8a=>h6<00:865`a083>!73i3h27c?;9;36?>ien3:1(<:n:c;8j42>28<07blj:18'51g=j01e=9751698kgb=83.:8l4m9:l20<<6021dnn4?:%37e?d>3g;?57?6;:maf?6=,8>j6o74n06:>4g<3fhj6=4+15c9f<=i9=31=o54oc494?"6<h0i56`>4882g>=hik0;6)?;a;`:?k7313;o76an0;29 42f2k30b<:6:0g8?j?a290/=9o5b89m51?=9o10e<k50;&20d<6n2d:844?;:k2`?6=,8>j6<h4n06:>4=<a8i1<7*>4`82b>h6<00976g>b;29 42f28l0b<:6:298m71=83.:8l4>f:l20<<332c9:7>5$06b>4`<f8>26854i3794?"6<h0:j6`>4885?>o5<3:1(<:n:0d8j42>2>10e?=50;&20d<6n2d:8447;:k16?6=,8>j6<h4n06:><=<a;;1<7*>4`82b>h6<00j76g=0;29 42f28l0b<:6:c98m4g=83.:8l4>f:l20<<d32c:57>5$06b>4`<f8>26i54i3f94?"6<h09i6`>4883?>o5k3:1(<:n:3g8j42>2810e?l50;&20d<5m2d:844=;:k1e?6=,8>j6?k4n06:>6=<a:<1<7*>4`81a>h6<00?76g<5;29 42f2;o0b<:6:498m62=83.:8l4=e:l20<<132c8?7>5$06b>7c<f8>26:54i2094?"6<h09i6`>488;?>o493:1(<:n:3g8j42>2010e>>50;&20d<5m2d:844n;:k1b?6=,8>j6?k4n06:>g=<a;31<7*>4`81a>h6<00h76g=8;29 42f2;o0b<:6:e98m=b=83.:8l47e:l20<<732c3o7>5$06b>=c<f8>26<54i9c94?"6<h03i6`>4881?>o?13:1(<:n:9g8j42>2:10e5650;&20d<?m2d:844;;:k;3?6=,8>j65k4n06:>0=<a1<1<7*>4`8;a>h6<00=76g75;29 42f21o0b<:6:698m=2=83.:8l47e:l20<<?32c3?7>5$06b>=c<f8>26454i9094?"6<h03i6`>488b?>o?93:1(<:n:9g8j42>2k10e:h50;&20d<?m2d:844l;:k4a?6=,8>j65k4n06:>a=<a>n1<7*>4`8;a>h6<00n76g8c;29 42f21o0b<:6:g98m2d=83.:8l47e:l20<<6821b;l4?:%37e?>b3g;?57?>;:k4=?6=,8>j65k4n06:>44<3`=36=4+15c9<`=i9=31=>54i6594?"6<h03i6`>48820>=n??0;6)?;a;:f?k7313;>76g64;29 42f21o0b<:6:048?l?4290/=9o58d9m51?=9>10e4<50;&20d<?m2d:844>8:9j=4<72-;?m76j;o37=?7>32c2<7>5$06b>=c<f8>26<o4;h:e>5<#9=k14h5a15;95g=<a1h1<7*>4`8;a>h6<00:o65f8183>!73i32n7c?;9;3g?>o0=3:1(<:n:9g8j42>28o07d9;:18'51g=0l1e=9751g98k`0=83.:8l4j7:l20<<732en97>5$06b>`1<f8>26<54od694?"6<h0n;6`>4881?>ib;3:1(<:n:d58j42>2:10e4j50;&20d<>m2d:844?;:k:g?6=,8>j64k4n06:>4=<a0h1<7*>4`8:a>h6<00976g6a;29 42f20o0b<:6:298k`d=83.:8l4jc:l20<<732enm7>5$06b>`e<f8>26<54od;94?"6<h0no6`>4881?>ib03:1(<:n:da8j42>2:10cio50;&20d<cj2d:844?;:mg=?6=,8>j6il4n06:>4=<gm=1<7*>4`8gf>h6<00976ak6;29 42f2mh0b<:6:298ka3=83.:8l4kb:l20<<332eo87>5$06b>ad<f8>26854oe194?"6<h0on6`>4885?>ic:3:1(<:n:e`8j42>2>10ci?50;&20d<cj2d:8447;:mg4?6=,8>j6il4n06:><=<gjl1<7*>4`8gf>h6<00j76ale;29 42f2mh0b<:6:c98kfe=83.:8l4kb:l20<<d32ehn7>5$06b>ad<f8>26i54obc94?"6<h0on6`>488f?>id13:1(<:n:e`8j42>2o10cn650;&20d<cj2d:844>0:9lg2<72-;?m7jm;o37=?7632eh:7>5$06b>ad<f8>26<<4;na6>5<#9=k1ho5a15;956=<gj>1<7*>4`8gf>h6<00:865`c283>!73i3ni7c?;9;36?>ib93:1(<:n:e`8j42>28<07bk?:18'51g=lk1e=9751698ka`=83.:8l4kb:l20<<6021dhh4?:%37e?be3g;?57?6;:mg`?6=,8>j6il4n06:>4g<3fnh6=4+15c9`g=i9=31=o54oe:94?"6<h0on6`>4882g>=hkm0;6)?;a;fa?k7313;o76al2;29 42f2mh0b<:6:0g8?je6290/=9o5dc9m51?=9o10e<8k:18'51g=9?o0b<:6:198m40d290/=9o517g8j42>2810e<8m:18'51g=9?o0b<:6:398m40f290/=9o517g8j42>2:10e;m50;&20d<1l2d:844?;:k5f?6=,8>j6;j4n06:>4=<a?31<7*>4`85`>h6<00976g98;29 42f2?n0b<:6:298m31=83.:8l49d:l20<<332c=:7>5$06b>3b<f8>26854i7794?"6<h0=h6`>4885?>o1<3:1(<:n:7f8j42>2>10e;=50;&20d<1l2d:8447;:k56?6=,8>j6;j4n06:><=<a?;1<7*>4`85`>h6<00j76g90;29 42f2?n0b<:6:c98m0c=83.:8l49d:l20<<d32c>h7>5$06b>3b<f8>26i54i4a94?"6<h0=h6`>488f?>o2j3:1(<:n:7f8j42>2o10e8o50;&20d<1l2d:844>0:9j1<<72-;?m78k;o37=?7632c>47>5$06b>3b<f8>26<<4;h74>5<#9=k1:i5a15;956=<a<<1<7*>4`85`>h6<00:865f5483>!73i3<o7c?;9;36?>o0;3:1(<:n:7f8j42>28<07d9=:18'51g=>m1e=9751698m27=83.:8l49d:l20<<6021b;=4?:%37e?0c3g;?57?6;:k5b?6=,8>j6;j4n06:>4g<3`<n6=4+15c92a=i9=31=o54i7c94?"6<h0=h6`>4882g>=n=o0;6)?;a;4g?k7313;o76g:4;29 42f2?n0b<:6:0g8?l34290/=9o56e9m51?=9o10qo?90;29ff<729q/=8k515a8L4143A;=?6T<8;ax3?>=980:97?=:04956<b2j0m6<:5b;m14<5<2d>>774nb294>hb:3;0(hh5f09'b5<a92.m87=4$g797>"a>390(k953:&e<?5<,o31?6*ia;18 cd=;2.mo7=4$gf97>"am390(kh53:&245<43-;;=7=4$021>6=#9991?6*>0580?!77=390(<>9:29'551=;2.:<54<;%33=?5<,8:j6>5+11`97>"68j087)??d;18 46b2:1/==h53:&255<43-;:=7=4$031>6=#9891?6*>1580?!76=390(<?9:29'541=;2.:=54<;%32=?5<,8;j6>5+10`97>"69j087)?>d;18 47b2:1/=<h53:&265<43-;9=7=4$001>6=#9;91?6*>2580?!75=390(<<9:29'571=;2.:>54<;%31=?5<,88j6>5+13`97>"6:j087)?=d;18 44b2:1/=?h53:&275<43-;8=7=4$011>6=#9:91?6*>3580?!74=390(<=9:29'561=;2.:?54<;%30=?5<,89j6>5+12`97>"6;j097)?<d;08 45b28>=7)?:1;36`>"6=;0856*>5280=>"6=?08;6*>56821<=#9<k1>6*>5c81?!7083;=j6*>70822c=n9=n1<75f4083>>obm3:17d?:0;29?l212900e<:j:188m42a2900ehj50;9j==<72-;?m776;o37=?6<3`3<6=4+15c9=<=i9=31=65f9783>!73i3327c?;9;08?l?2290/=9o5989m51?=;21b=;650;&20d<6>01e=9750:9j531=83.:8l4>689m51?=921b=;850;&20d<6>01e=9752:9j533=83.:8l4>689m51?=;21dn54?:%37e?d>3g;?57>4;n`4>5<#9=k1n45a15;95>=hj<0;6)?;a;`:?k7313807bl;:18'51g=j01e=9753:9lf6<72-;?m7l6;o37=?2<3fh96=4+15c9f<=i9=31965`b083>!73i3h27c?;9;48?jd7290/=9o5b89m51?=?21dmk4?:%37e?d>3g;?5764;ncf>5<#9=k1n45a15;9=>=him0;6)?;a;`:?k7313k07bol:18'51g=j01e=975b:9led<72-;?m7l6;o37=?e<3fk26=4+15c9f<=i9=31h65`a983>!73i3h27c?;9;g8?jg0290/=9o5b89m51?=n21dm;4?:%37e?d>3g;?57??;:mb1?6=,8>j6o74n06:>47<3fk?6=4+15c9f<=i9=31=?54o`194?"6<h0i56`>48827>=hi;0;6)?;a;`:?k7313;?76an1;29 42f2k30b<:6:078?jda290/=9o5b89m51?=9?10cok50;&20d<e12d:844>7:9lfa<72-;?m7l6;o37=?7?32eio7>5$06b>g?<f8>26<74;n`a>5<#9=k1n45a15;95d=<gkk1<7*>4`8a=>h6<00:n65`b783>!73i3h27c?;9;3`?>ifj3:1(<:n:c;8j42>28n07bo?:18'51g=j01e=9751d98k<`=83.:8l4m9:l20<<6n21b=h4?:%37e?7a3g;?57>4;h3g>5<#9=k1=k5a15;95>=n9j0;6)?;a;3e?k7313807d?m:18'51g=9o1e=9753:9j62<72-;?m7?i;o37=?2<3`8=6=4+15c95c=i9=31965f2483>!73i3;m7c?;9;48?l43290/=9o51g9m51?=?21b>>4?:%37e?7a3g;?5764;h01>5<#9=k1=k5a15;9=>=n:80;6)?;a;3e?k7313k07d<?:18'51g=9o1e=975b:9j5d<72-;?m7?i;o37=?e<3`;26=4+15c95c=i9=31h65f2e83>!73i38n7c?;9;28?l4d290/=9o52d9m51?=921b>o4?:%37e?4b3g;?57<4;h0b>5<#9=k1>h5a15;97>=n;?0;6)?;a;0f?k7313>07d=::18'51g=:l1e=9755:9j71<72-;?m7<j;o37=?0<3`986=4+15c96`=i9=31;65f3383>!73i38n7c?;9;:8?l56290/=9o52d9m51?=121b?=4?:%37e?4b3g;?57o4;h0e>5<#9=k1>h5a15;9f>=n:00;6)?;a;0f?k7313i07d<7:18'51g=:l1e=975d:9j<a<72-;?m76j;o37=?6<3`2h6=4+15c9<`=i9=31=65f8`83>!73i32n7c?;9;08?l>>290/=9o58d9m51?=;21b454?:%37e?>b3g;?57:4;h:4>5<#9=k14h5a15;91>=n0?0;6)?;a;:f?k7313<07d6::18'51g=0l1e=9757:9j<1<72-;?m76j;o37=?><3`286=4+15c9<`=i9=31565f8383>!73i32n7c?;9;c8?l>6290/=9o58d9m51?=j21b;k4?:%37e?>b3g;?57m4;h5f>5<#9=k14h5a15;9`>=n?m0;6)?;a;:f?k7313o07d9l:18'51g=0l1e=975f:9j3g<72-;?m76j;o37=?7732c<m7>5$06b>=c<f8>26<?4;h5:>5<#9=k14h5a15;957=<a>21<7*>4`8;a>h6<00:?65f7683>!73i32n7c?;9;37?>o0>3:1(<:n:9g8j42>28?07d7;:18'51g=0l1e=9751798m<5=83.:8l47e:l20<<6?21b5?4?:%37e?>b3g;?57?7;:k:5?6=,8>j65k4n06:>4?<3`3;6=4+15c9<`=i9=31=l54i9d94?"6<h03i6`>4882f>=n0k0;6)?;a;:f?k7313;h76g70;29 42f21o0b<:6:0f8?l12290/=9o58d9m51?=9l10e::50;&20d<?m2d:844>f:9la3<72-;?m7k8;o37=?6<3fo>6=4+15c9a2=i9=31=65`e583>!73i3o<7c?;9;08?jc4290/=9o5e69m51?=;21b5i4?:%37e??b3g;?57>4;h;`>5<#9=k15h5a15;95>=n1k0;6)?;a;;f?k7313807d7n:18'51g=1l1e=9753:9lag<72-;?m7kl;o37=?6<3foj6=4+15c9af=i9=31=65`e883>!73i3oh7c?;9;08?jc?290/=9o5eb9m51?=;21dhl4?:%37e?be3g;?57>4;nf:>5<#9=k1ho5a15;95>=hl>0;6)?;a;fa?k7313807bj9:18'51g=lk1e=9753:9l`0<72-;?m7jm;o37=?2<3fn?6=4+15c9`g=i9=31965`d283>!73i3ni7c?;9;48?jb5290/=9o5dc9m51?=?21dh<4?:%37e?be3g;?5764;nf3>5<#9=k1ho5a15;9=>=hko0;6)?;a;fa?k7313k07bmj:18'51g=lk1e=975b:9lgf<72-;?m7jm;o37=?e<3fii6=4+15c9`g=i9=31h65`c`83>!73i3ni7c?;9;g8?je>290/=9o5dc9m51?=n21do54?:%37e?be3g;?57??;:m`3?6=,8>j6il4n06:>47<3fi=6=4+15c9`g=i9=31=?54ob794?"6<h0on6`>48827>=hk=0;6)?;a;fa?k7313;?76al3;29 42f2mh0b<:6:078?jc6290/=9o5dc9m51?=9?10ch>50;&20d<cj2d:844>7:9l`c<72-;?m7jm;o37=?7?32eoi7>5$06b>ad<f8>26<74;nfg>5<#9=k1ho5a15;95d=<gmi1<7*>4`8gf>h6<00:n65`d983>!73i3ni7c?;9;3`?>idl3:1(<:n:e`8j42>28n07bm=:18'51g=lk1e=9751d98kf7=83.:8l4kb:l20<<6n21b=;j50;&20d<6>l1e=9750:9j53e=83.:8l4>6d9m51?=921b=;l50;&20d<6>l1e=9752:9j53g=83.:8l4>6d9m51?=;21b:n4?:%37e?0c3g;?57>4;h4a>5<#9=k1:i5a15;95>=n>00;6)?;a;4g?k7313807d87:18'51g=>m1e=9753:9j22<72-;?m78k;o37=?2<3`<=6=4+15c92a=i9=31965f6483>!73i3<o7c?;9;48?l03290/=9o56e9m51?=?21b:>4?:%37e?0c3g;?5764;h41>5<#9=k1:i5a15;9=>=n>80;6)?;a;4g?k7313k07d8?:18'51g=>m1e=975b:9j1`<72-;?m78k;o37=?e<3`?o6=4+15c92a=i9=31h65f5b83>!73i3<o7c?;9;g8?l3e290/=9o56e9m51?=n21b9l4?:%37e?0c3g;?57??;:k6=?6=,8>j6;j4n06:>47<3`?36=4+15c92a=i9=31=?54i4594?"6<h0=h6`>48827>=n=?0;6)?;a;4g?k7313;?76g:5;29 42f2?n0b<:6:078?l14290/=9o56e9m51?=9?10e:<50;&20d<1l2d:844>7:9j34<72-;?m78k;o37=?7?32c<<7>5$06b>3b<f8>26<74;h4e>5<#9=k1:i5a15;95d=<a?o1<7*>4`85`>h6<00:n65f6`83>!73i3<o7c?;9;3`?>o2n3:1(<:n:7f8j42>28n07d;;:18'51g=>m1e=9751d98m05=83.:8l49d:l20<<6n21vn<8>:18ag?6=8r.:9h4>4b9K525<@8<87W=7:by4>=<693;>6<<517827?c=k3l1=94m:|l65?433g?9645ac183?kc5281/ik4i1:&e4?`63-l?6>5+f480?!`12:1/j:4<;%d;>6=#n0087)hn:29'bg<43-lh6>5+fe80?!`b2:1/jk4<;%334?5<,8::6>5+11097>"68:087)??4;18 4622:1/==853:&242<43-;;47=4$02:>6=#99k1?6*>0c80?!77k390(<>k:29'55c=;2.:<k4<;%324?5<,8;:6>5+10097>"69:087)?>4;18 4722:1/=<853:&252<43-;:47=4$03:>6=#98k1?6*>1c80?!76k390(<?k:29'54c=;2.:=k4<;%314?5<,88:6>5+13097>"6::087)?=4;18 4422:1/=?853:&262<43-;947=4$00:>6=#9;k1?6*>2c80?!75k390(<<k:29'57c=;2.:>k4<;%304?5<,89:6>5+12097>"6;:087)?<4;18 4522:1/=>853:&272<43-;847=4$01:>6=#9:k1?6*>3c80?!74k380(<=k:39'56c=9=<0(<;>:07g?!72:3927)?:3;1:?!72>39<7)?:7;36=>"6=h097)?:b;08 41728<m7)?81;35b>o6<m0;66g;1;29?lcb2900e<;?:188m10=831b=9k50;9j51`=831bii4?::k:<?6=,8>j6474n06:>5=<a0=1<7*>4`8:=>h6<00:76g66;29 42f2030b<:6:398m<3=83.:8l469:l20<<432c::54?:%37e?7112d:844?;:k222<72-;?m7?99:l20<<632c::;4?:%37e?7112d:844=;:k220<72-;?m7?99:l20<<432ei47>5$06b>g?<f8>26=54oc594?"6<h0i56`>4882?>ie=3:1(<:n:c;8j42>2;10co:50;&20d<e12d:844<;:ma7?6=,8>j6o74n06:>1=<gk81<7*>4`8a=>h6<00>76am1;29 42f2k30b<:6:798kg6=83.:8l4m9:l20<<032ejj7>5$06b>g?<f8>26554o`g94?"6<h0i56`>488:?>ifl3:1(<:n:c;8j42>2h10clm50;&20d<e12d:844m;:mbe?6=,8>j6o74n06:>f=<gh31<7*>4`8a=>h6<00o76an8;29 42f2k30b<:6:d98kd1=83.:8l4m9:l20<<a32ej:7>5$06b>g?<f8>26<>4;nc6>5<#9=k1n45a15;954=<gh>1<7*>4`8a=>h6<00:>65`a283>!73i3h27c?;9;30?>if:3:1(<:n:c;8j42>28>07bo>:18'51g=j01e=9751498kg`=83.:8l4m9:l20<<6>21dnh4?:%37e?d>3g;?57?8;:ma`?6=,8>j6o74n06:>4><3fhh6=4+15c9f<=i9=31=454oc`94?"6<h0i56`>4882e>=hjh0;6)?;a;`:?k7313;i76am6;29 42f2k30b<:6:0a8?jge290/=9o5b89m51?=9m10cl>50;&20d<e12d:844>e:9l=c<72-;?m7l6;o37=?7a32c:i7>5$06b>4`<f8>26=54i0f94?"6<h0:j6`>4882?>o6k3:1(<:n:0d8j42>2;10e<l50;&20d<6n2d:844<;:k13?6=,8>j6<h4n06:>1=<a;<1<7*>4`82b>h6<00>76g=5;29 42f28l0b<:6:798m72=83.:8l4>f:l20<<032c9?7>5$06b>4`<f8>26554i3094?"6<h0:j6`>488:?>o593:1(<:n:0d8j42>2h10e?>50;&20d<6n2d:844m;:k2e?6=,8>j6<h4n06:>f=<a831<7*>4`82b>h6<00o76g=d;29 42f2;o0b<:6:198m7e=83.:8l4=e:l20<<632c9n7>5$06b>7c<f8>26?54i3c94?"6<h09i6`>4880?>o4>3:1(<:n:3g8j42>2=10e>;50;&20d<5m2d:844:;:k00?6=,8>j6?k4n06:>3=<a:91<7*>4`81a>h6<00<76g<2;29 42f2;o0b<:6:998m67=83.:8l4=e:l20<<>32c8<7>5$06b>7c<f8>26l54i3d94?"6<h09i6`>488a?>o513:1(<:n:3g8j42>2j10e?650;&20d<5m2d:844k;:k;`?6=,8>j65k4n06:>5=<a1i1<7*>4`8;a>h6<00:76g7a;29 42f21o0b<:6:398m=?=83.:8l47e:l20<<432c347>5$06b>=c<f8>26954i9594?"6<h03i6`>4886?>o?>3:1(<:n:9g8j42>2?10e5;50;&20d<?m2d:8448;:k;0?6=,8>j65k4n06:>==<a191<7*>4`8;a>h6<00276g72;29 42f21o0b<:6:`98m=7=83.:8l47e:l20<<e32c<j7>5$06b>=c<f8>26n54i6g94?"6<h03i6`>488g?>o0l3:1(<:n:9g8j42>2l10e:m50;&20d<?m2d:844i;:k4f?6=,8>j65k4n06:>46<3`=j6=4+15c9<`=i9=31=<54i6;94?"6<h03i6`>48826>=n?10;6)?;a;:f?k7313;876g87;29 42f21o0b<:6:068?l11290/=9o58d9m51?=9<10e4:50;&20d<?m2d:844>6:9j=6<72-;?m76j;o37=?7032c2>7>5$06b>=c<f8>26<64;h;2>5<#9=k14h5a15;95<=<a0:1<7*>4`8;a>h6<00:m65f8g83>!73i32n7c?;9;3a?>o?j3:1(<:n:9g8j42>28i07d6?:18'51g=0l1e=9751e98m23=83.:8l47e:l20<<6m21b;94?:%37e?>b3g;?57?i;:mf2?6=,8>j6h94n06:>5=<gl?1<7*>4`8f3>h6<00:76aj4;29 42f2l=0b<:6:398k`5=83.:8l4j7:l20<<432c2h7>5$06b><c<f8>26=54i8a94?"6<h02i6`>4882?>o>j3:1(<:n:8g8j42>2;10e4o50;&20d<>m2d:844<;:mff?6=,8>j6hm4n06:>5=<glk1<7*>4`8fg>h6<00:76aj9;29 42f2li0b<:6:398k`>=83.:8l4jc:l20<<432eom7>5$06b>ad<f8>26=54oe;94?"6<h0on6`>4882?>ic?3:1(<:n:e`8j42>2;10ci850;&20d<cj2d:844<;:mg1?6=,8>j6il4n06:>1=<gm>1<7*>4`8gf>h6<00>76ak3;29 42f2mh0b<:6:798ka4=83.:8l4kb:l20<<032eo=7>5$06b>ad<f8>26554oe294?"6<h0on6`>488:?>idn3:1(<:n:e`8j42>2h10cnk50;&20d<cj2d:844m;:m`g?6=,8>j6il4n06:>f=<gjh1<7*>4`8gf>h6<00o76ala;29 42f2mh0b<:6:d98kf?=83.:8l4kb:l20<<a32eh47>5$06b>ad<f8>26<>4;na4>5<#9=k1ho5a15;954=<gj<1<7*>4`8gf>h6<00:>65`c483>!73i3ni7c?;9;30?>id<3:1(<:n:e`8j42>28>07bm<:18'51g=lk1e=9751498k`7=83.:8l4kb:l20<<6>21di=4?:%37e?be3g;?57?8;:mgb?6=,8>j6il4n06:>4><3fnn6=4+15c9`g=i9=31=454oef94?"6<h0on6`>4882e>=hlj0;6)?;a;fa?k7313;i76ak8;29 42f2mh0b<:6:0a8?jec290/=9o5dc9m51?=9m10cn<50;&20d<cj2d:844>e:9lg4<72-;?m7jm;o37=?7a32c::i4?:%37e?71m2d:844?;:k22f<72-;?m7?9e:l20<<632c::o4?:%37e?71m2d:844=;:k22d<72-;?m7?9e:l20<<432c=o7>5$06b>3b<f8>26=54i7`94?"6<h0=h6`>4882?>o113:1(<:n:7f8j42>2;10e;650;&20d<1l2d:844<;:k53?6=,8>j6;j4n06:>1=<a?<1<7*>4`85`>h6<00>76g95;29 42f2?n0b<:6:798m32=83.:8l49d:l20<<032c=?7>5$06b>3b<f8>26554i7094?"6<h0=h6`>488:?>o193:1(<:n:7f8j42>2h10e;>50;&20d<1l2d:844m;:k6a?6=,8>j6;j4n06:>f=<a<n1<7*>4`85`>h6<00o76g:c;29 42f2?n0b<:6:d98m0d=83.:8l49d:l20<<a32c>m7>5$06b>3b<f8>26<>4;h7:>5<#9=k1:i5a15;954=<a<21<7*>4`85`>h6<00:>65f5683>!73i3<o7c?;9;30?>o2>3:1(<:n:7f8j42>28>07d;::18'51g=>m1e=9751498m25=83.:8l49d:l20<<6>21b;?4?:%37e?0c3g;?57?8;:k45?6=,8>j6;j4n06:>4><3`=;6=4+15c92a=i9=31=454i7d94?"6<h0=h6`>4882e>=n>l0;6)?;a;4g?k7313;i76g9a;29 42f2?n0b<:6:0a8?l3a290/=9o56e9m51?=9m10e8:50;&20d<1l2d:844>e:9j16<72-;?m78k;o37=?7a32wi=;<50;``>5<7s-;>i7?;c:J236=O9?90V>65cz59<?7628?1=?4>6;30>`<d2o0:87l5}o72>72<f<8156`l0;28j`4=92.nj7h>;%d3>c7<,o>1?6*i5;18 c0=;2.m;7=4$g:97>"a1390(ko53:&ef?5<,oi1?6*id;18 cc=;2.mj7=4$023>6=#99;1?6*>0380?!77;390(<>;:29'553=;2.:<;4<;%333?5<,8:36>5+11;97>"68h087)??b;18 46d2:1/==j53:&24`<43-;;j7=4$033>6=#98;1?6*>1380?!76;390(<?;:29'543=;2.:=;4<;%323?5<,8;36>5+10;97>"69h087)?>b;18 47d2:1/=<j53:&25`<43-;:j7=4$003>6=#9;;1?6*>2380?!75;390(<<;:29'573=;2.:>;4<;%313?5<,8836>5+13;97>"6:h087)?=b;18 44d2:1/=?j53:&26`<43-;9j7=4$013>6=#9:;1?6*>3380?!74;390(<=;:29'563=;2.:?;4<;%303?5<,8936>5+12;97>"6;h087)?<b;18 45d2;1/=>j52:&27`<6<?1/=8?514f8 4352:30(<;<:2;8 4312:=0(<;8:07:?!72i380(<;m:39'526=9?l0(<9>:04e?l73l3:17d:>:188m`c=831b=8>50;9j03<722c:8h4?::k20c<722cnh7>5;h;;>5<#9=k1545a15;94>=n1>0;6)?;a;;:?k7313;07d79:18'51g=101e=9752:9j=0<72-;?m776;o37=?5<3`;=47>5$06b>40>3g;?57>4;h353?6=,8>j6<86;o37=?7<3`;=:7>5$06b>40>3g;?57<4;h351?6=,8>j6<86;o37=?5<3fh36=4+15c9f<=i9=31<65`b683>!73i3h27c?;9;38?jd2290/=9o5b89m51?=:21dn94?:%37e?d>3g;?57=4;n`0>5<#9=k1n45a15;90>=hj;0;6)?;a;`:?k7313?07bl>:18'51g=j01e=9756:9lf5<72-;?m7l6;o37=?1<3fkm6=4+15c9f<=i9=31465`ad83>!73i3h27c?;9;;8?jgc290/=9o5b89m51?=i21dmn4?:%37e?d>3g;?57l4;ncb>5<#9=k1n45a15;9g>=hi00;6)?;a;`:?k7313n07bo7:18'51g=j01e=975e:9le2<72-;?m7l6;o37=?`<3fk=6=4+15c9f<=i9=31==54o`794?"6<h0i56`>48825>=hi=0;6)?;a;`:?k7313;976an3;29 42f2k30b<:6:018?jg5290/=9o5b89m51?=9=10cl?50;&20d<e12d:844>5:9lfc<72-;?m7l6;o37=?7132eii7>5$06b>g?<f8>26<94;n`g>5<#9=k1n45a15;95==<gki1<7*>4`8a=>h6<00:565`bc83>!73i3h27c?;9;3b?>iei3:1(<:n:c;8j42>28h07bl9:18'51g=j01e=9751b98kdd=83.:8l4m9:l20<<6l21dm=4?:%37e?d>3g;?57?j;:m:b?6=,8>j6o74n06:>4`<3`;n6=4+15c95c=i9=31<65f1e83>!73i3;m7c?;9;38?l7d290/=9o51g9m51?=:21b=o4?:%37e?7a3g;?57=4;h04>5<#9=k1=k5a15;90>=n:?0;6)?;a;3e?k7313?07d<::18'51g=9o1e=9756:9j61<72-;?m7?i;o37=?1<3`886=4+15c95c=i9=31465f2383>!73i3;m7c?;9;;8?l46290/=9o51g9m51?=i21b>=4?:%37e?7a3g;?57l4;h3b>5<#9=k1=k5a15;9g>=n900;6)?;a;3e?k7313n07d<k:18'51g=:l1e=9750:9j6f<72-;?m7<j;o37=?7<3`8i6=4+15c96`=i9=31>65f2`83>!73i38n7c?;9;18?l51290/=9o52d9m51?=<21b?84?:%37e?4b3g;?57;4;h17>5<#9=k1>h5a15;92>=n;:0;6)?;a;0f?k7313=07d==:18'51g=:l1e=9758:9j74<72-;?m7<j;o37=??<3`9;6=4+15c96`=i9=31m65f2g83>!73i38n7c?;9;`8?l4>290/=9o52d9m51?=k21b>54?:%37e?4b3g;?57j4;h:g>5<#9=k14h5a15;94>=n0j0;6)?;a;:f?k7313;07d6n:18'51g=0l1e=9752:9j<<<72-;?m76j;o37=?5<3`236=4+15c9<`=i9=31865f8683>!73i32n7c?;9;78?l>1290/=9o58d9m51?=>21b484?:%37e?>b3g;?5794;h:7>5<#9=k14h5a15;9<>=n0:0;6)?;a;:f?k7313307d6=:18'51g=0l1e=975a:9j<4<72-;?m76j;o37=?d<3`=m6=4+15c9<`=i9=31o65f7d83>!73i32n7c?;9;f8?l1c290/=9o58d9m51?=m21b;n4?:%37e?>b3g;?57h4;h5a>5<#9=k14h5a15;955=<a>k1<7*>4`8;a>h6<00:=65f7883>!73i32n7c?;9;31?>o003:1(<:n:9g8j42>28907d98:18'51g=0l1e=9751598m20=83.:8l47e:l20<<6=21b594?:%37e?>b3g;?57?9;:k:7?6=,8>j65k4n06:>41<3`396=4+15c9<`=i9=31=554i8394?"6<h03i6`>4882=>=n190;6)?;a;:f?k7313;j76g7f;29 42f21o0b<:6:0`8?l>e290/=9o58d9m51?=9j10e5>50;&20d<?m2d:844>d:9j30<72-;?m76j;o37=?7b32c<87>5$06b>=c<f8>26<h4;ng5>5<#9=k1i:5a15;94>=hm<0;6)?;a;g4?k7313;07bk;:18'51g=m>1e=9752:9la6<72-;?m7k8;o37=?5<3`3o6=4+15c9=`=i9=31<65f9b83>!73i33n7c?;9;38?l?e290/=9o59d9m51?=:21b5l4?:%37e??b3g;?57=4;nga>5<#9=k1in5a15;94>=hmh0;6)?;a;g`?k7313;07bk6:18'51g=mj1e=9752:9la=<72-;?m7kl;o37=?5<3fnj6=4+15c9`g=i9=31<65`d883>!73i3ni7c?;9;38?jb0290/=9o5dc9m51?=:21dh;4?:%37e?be3g;?57=4;nf6>5<#9=k1ho5a15;90>=hl=0;6)?;a;fa?k7313?07bj<:18'51g=lk1e=9756:9l`7<72-;?m7jm;o37=?1<3fn:6=4+15c9`g=i9=31465`d183>!73i3ni7c?;9;;8?jea290/=9o5dc9m51?=i21doh4?:%37e?be3g;?57l4;na`>5<#9=k1ho5a15;9g>=hkk0;6)?;a;fa?k7313n07bmn:18'51g=lk1e=975e:9lg<<72-;?m7jm;o37=?`<3fi36=4+15c9`g=i9=31==54ob594?"6<h0on6`>48825>=hk?0;6)?;a;fa?k7313;976al5;29 42f2mh0b<:6:018?je3290/=9o5dc9m51?=9=10cn=50;&20d<cj2d:844>5:9la4<72-;?m7jm;o37=?7132en<7>5$06b>ad<f8>26<94;nfe>5<#9=k1ho5a15;95==<gmo1<7*>4`8gf>h6<00:565`de83>!73i3ni7c?;9;3b?>ick3:1(<:n:e`8j42>28h07bj7:18'51g=lk1e=9751b98kfb=83.:8l4kb:l20<<6l21do?4?:%37e?be3g;?57?j;:m`5?6=,8>j6il4n06:>4`<3`;=h7>5$06b>40b3g;?57>4;h35g?6=,8>j6<8j;o37=?7<3`;=n7>5$06b>40b3g;?57<4;h35e?6=,8>j6<8j;o37=?5<3`<h6=4+15c92a=i9=31<65f6c83>!73i3<o7c?;9;38?l0>290/=9o56e9m51?=:21b:54?:%37e?0c3g;?57=4;h44>5<#9=k1:i5a15;90>=n>?0;6)?;a;4g?k7313?07d8::18'51g=>m1e=9756:9j21<72-;?m78k;o37=?1<3`<86=4+15c92a=i9=31465f6383>!73i3<o7c?;9;;8?l06290/=9o56e9m51?=i21b:=4?:%37e?0c3g;?57l4;h7f>5<#9=k1:i5a15;9g>=n=m0;6)?;a;4g?k7313n07d;l:18'51g=>m1e=975e:9j1g<72-;?m78k;o37=?`<3`?j6=4+15c92a=i9=31==54i4;94?"6<h0=h6`>48825>=n=10;6)?;a;4g?k7313;976g:7;29 42f2?n0b<:6:018?l31290/=9o56e9m51?=9=10e8;50;&20d<1l2d:844>5:9j36<72-;?m78k;o37=?7132c<>7>5$06b>3b<f8>26<94;h52>5<#9=k1:i5a15;95==<a>:1<7*>4`85`>h6<00:565f6g83>!73i3<o7c?;9;3b?>o1m3:1(<:n:7f8j42>28h07d8n:18'51g=>m1e=9751b98m0`=83.:8l49d:l20<<6l21b994?:%37e?0c3g;?57?j;:k67?6=,8>j6;j4n06:>4`<3ty:894?:c7x94132o801<;i:0g8943a2;801<;i:338943a2;:01<;i:0c8943a28301<;i:3f8943a2;l01<;i:3;8943a2;201<;i:9f8943a21i01<;i:9c8943a21301<;i:9:8943a21=01<;i:948943a21?01<;i:968943a21901<;i:908943a21;01<;i:6d8943a2>o01<;i:6f8943a2>i01<;i:6`8943a2>k01<;i:6;8943a2>201<;i:658943a2><01<;i:868943a20901<;i:8f8943a20i01<;i:8`8943a28>o70?:f;37b>;6=o0:9=5217295`=:9?:1>?52172964=:9?:1>=5217295d=:9?:1=45217296a=:9?:1>k5217296<=:9?:1>5521729<a=:9?:14n521729<d=:9?:144521729<==:9?:14:521729<3=:9?:148521729<1=:9?:14>521729<7=:9?:14<5217293c=:9?:1;h5217293a=:9?:1;n5217293g=:9?:1;l5217293<=:9?:1;552172932=:9?:1;;521729=1=:9?:15>521729=a=:9?:15n521729=g=:9?:1=9j4=043>42a34;=<7?:0:?224<6m27::<4=2:?224<5927::<4=0:?224<6i27::<4>9:?224<5l27::<4=f:?224<5127::<4=8:?224<?l27::<47c:?224<?i27::<479:?224<?027::<477:?224<?>27::<475:?224<?<27::<473:?224<?:27::<471:?224<0n27::<48e:?224<0l27::<48c:?224<0j27::<48a:?224<0127::<488:?224<0?27::<486:?224<><27::<463:?224<>l27::<46c:?224<>j27::<4>4e9>537=9=l01<8>:073?871:3;n70?92;01?871:38:70?92;03?871:3;j70?92;3:?871:38o70?92;0e?871:38270?92;0;?871:3<h70?92;4a?871:3<270?92;40?871:3<970?92;42?871:3?j70?92;7:?871:3?370?92;52?871:3=;70?92;4e?871:32o70?92;:`?871:32j70?92;::?871:32370?92;:4?871:32=70?92;:6?871:32?70?92;:0?871:32970?92;:2?871:3=m70?92;5f?871:3=o70?92;5`?871:3=i70?92;5b?871:3=270?92;5;?871:3=<70?92;55?871:33?70?92;;0?871:33970?92;;2?871:33;70?92;;;?871:33<70?92;;5?871:33>70?92;;g?871:33h70?92;;a?871:33j70?92;37`>;6>;0:8k521709506<uz8h;7>52z\1g2=:9<l1o<5rs3a;>5<5sW8h463>5g8`6>{t:m91<7<t^3f0?872n3io7p}=dd83>7}Y:mo01<;i:e:8yv4b:3:1>vP=e39>50`=lj1v?k<:181[4b;27:9k4kd:p6`2=838pR?k;;<36b?bb3ty9i84?:3y]6`3<58?m6ih4}r0f2?6=:rT9i;5214d9a==z{;o<6=4={_0f3>;6>90h=6s|2b;94?4|V;i270?90;a1?xu5kh0;6?uQ2bc894072jn0q~<lb;296~X5kk16=;>5d99~w7ed2909wS<lc:?225<ck2wx>nj50;0xZ7ec34;=<7jk;|q1g`<72;qU>nk4=043>ac<uz8hj7>52z\1gc=:9?:1hk5rs3f3>5<5sW8o<63>618f<>{t:m;1<7<t^3f2?87193i:7p}=d383>7}Y:m801<8>:b08yv4c<3:1>vP=d59>537=km1v?j::181[4c=27::<4k8:p6a0=838pR?j9;<355?bd3ty9h:4?:3y]6a1<58<:6ij4}r0g<?6=:rT9h5521739``=z{;n26=4={_0g=>;6>80oj6s|2ec94?4|V;nj70?91;g;?xu5lk0;6?uQ2e`894052j;0q~<kc;296~X5lj16=;<5c39~w7bc2909wS<kd:?227<dl2wx>ih50;0xZ7ba34;=>7j7;|q1a5<72;qU>h>4=041>ae<uz8?:7>52z\103=:9<l15k5rs364>5<5sW8?;63>5g8b4>{t:?l1<7<t^34e?872n3ki7p}=7`83>7}Y:>k01<;i:c48yv4?=3:1>vP=849>50`=jh1v?7?:181[4>827:9k4mb:p6<d=838pR?7m;<36b?dd3ty9m;4?:3y]6d0<58?m6oj4}r0a5?6=:rT9n<5214d9a6=z{;hh6=4={_0ag>;6>902j6s|25:94?4|V;>370?90;c3?xu5=:0;6?uQ241894072hh0q~<:e;296~X5=l16=;>5b79~w70?2909wS<98:?225<ei2wx>;750;0xZ70>34;=<7lm;|q12d<72;qU>;o4=043>ge<uz8=n7>52z\12g=:9?:1ni5rs34`>5<5sW8=o63>618f7>{t:?n1<7<t^34g?871933m7p}=6d83>7}Y:?o01<8>:`28yv4083:1>vP=719>537=ik1v?9>:181[40927::<4m6:p624=838pR?9=;<355?df3ty9;>4?:3y]625<58<:6ol4}r040?6=:rT9;9521739ff=z{;=>6=4={_041>;6>80ih6s|26494?4|V;==70?91;g0?xu5?>0;6?uQ2658940520l0q~<88;296~X5?116=;<5a19~w71>2909wS<89:?227<fj2wx>:l50;0xZ71e34;=>7l9;|q13f<72;qU>:m4=041>gg<uz8<h7>52z\13a=:9<l1nh5rs35f>5<5sW8<i63>5g8ab>{t:>l1<7<t^35e?872n3k:7p}=8183>7}Y:1:01<;i:`08yv4?93:1>vP=809>50`=i:1v?6=:181[4?:27:9k4n4:p6=5=838pR?6<;<36b?g23ty9494?:3y]6=2<58?m6l84}r0;2?6=:rT94;5214d9a1=z{;2<6=4={_0;3>;6>90ii6s|29:94?4|V;2370?90;`e?xu5000;6?uQ29;894072h;0q~<7a;296~X50h16=;>5a39~w7>e2909wS<7b:?225<f;2wx>5m50;0xZ7>d34;=<7o;;|q1<a<72;qU>5j4=043>d3<uz83i7>52z\1<`=:9?:1m;5rs3:e>5<5sW83j63>618f0>{t:0;1<7<t^3;2?87193hn7p}=9383>7}Y:0801<8>:cd8yv4>;3:1>vP=929>537=i81v?7;:181[4><27::<4n2:p6<3=838pR?7:;<355?g43ty95;4?:3y]6<0<58<:6l:4}r0:3?6=:rT95:521739e0=z{;336=4={_0:<>;6>80j:6s|28;94?4|V;3270?91;g7?xu51h0;6?uQ28c894052ko0q~<6c;296~X51j16=;<5bg9~w7?c2909wS<6d:?227<f92wx>4k50;0xZ7?b34;=>7o=;|q1=c<72;qU>4h4=041>d5<uz8j<7>52z\1e5=:9<l1m:5rs3c2>5<5sW8j=63>5g8b<>{t:h81<7<t^3c1?872n3k27p}=a283>7}Y:h901<;i:`c8yv4f<3:1>vP=a59>50`=ij1v?o::181[4f=27:9k4nd:p6d1=838pR?o8;<36b?gb3ty9m54?:3y]6d><58?m6lh4}r0b=?6=:rT9m45214d9a0=z{;kj6=4={_0be>;6>90j;6s|2``94?4|V;ki70?90;c;?xu5ij0;6?uQ2`a894072h30q~<nd;296~X5im16=;>5a`9~w7gb2909wS<ne:?225<fk2wx>lh50;0xZ7ga34;=<7ok;|q1f5<72;qU>o>4=043>dc<uz8i>7>52z\1f7=:9?:1mk5rs3`0>5<5sW8i?63>618f1>{t:k>1<7<t^3`7?87193k<7p}=b483>7}Y:k?01<8>:`:8yv4e>3:1>vP=b79>537=i01v?l8:181[4e?27::<4na:p6g>=838pR?l7;<355?gd3ty9n44?:3y]6g?<58<:6lj4}r0ae?6=:rT9nl521739e`=z{;hi6=4={_0af>;6>80jj6s|2cf94?4|V;ho70?91;g6?xu5jl0;6?uQ2cg894052h=0q~<mf;296~X5jo16=;<5a99~w7e72909wS<l0:?227<f12wx>n?50;0xZ7e634;=>7on;|q1g7<72;qU>n<4=041>de<uz8h?7>52z\1g6=:9<l1n=5rs3a7>5<5sW8h863>5g8a5>{t:j?1<7<t^3a6?872n3h97p}=c783>7}Y:j<01<;i:c18yv4313:1>vP=489>50`=j=1v?:n:181[43i27:9k4m5:p61d=838pR?:m;<36b?d03ty98n4?:3y]61e<58?m6o64}r07`?6=:rT98i5214d9a3=z{;>n6=4={_07a>;6>90i<6s|25d94?4|V;>m70?90;`2?xu5=90;6?uQ242894072k80q~<:1;296~X5=816=;>5b29~w7352909wS<:2:?225<e<2wx>8:50;0xZ73334;=<7l:;|q110<72;qU>8;4=043>g1<uz8>:7>52z\113=:9?:1n55rs374>5<5sW8>;63>618f2>{t:<21<7<t^37;?87193h;7p}=5883>7}Y:<301<8>:c38yv42i3:1>vP=5`9>537=j;1v?;m:181[42j27::<4m3:p60e=838pR?;l;<355?d33ty99i4?:3y]60b<58<:6o;4}r06b?6=:rT99k521739f2=z{;<;6=4={_054>;6>80i46s|27394?4|V;<:70?91;g5?xu5>;0;6?uQ270894052k:0q~<93;296~X5>:16=;<5b09~w7032909wS<94:?227<e:2wx>;;50;0xZ70234;=>7l<;|q123<72;qU>;84=041>g2<uz8n57>59z\1a<=:9<l1ih5214d951c<58<;6hk4=043>42b34;==7kj;<355?73m27::?4je:?227<6<l1v<o<:186[7f;27:9k4;6:?225<3>27::<4;6:?227<3>2wx>h650;7xZ7c?34;>j7kk;<354?cc34;==7kk;<356?cc3ty:m?4?:4y]5d4<58?m69?4=043>17<58<:69?4=041>17<uz8997>52z\160=:9<l1;95rs305>5<5sW89:63>5g841>{t::;1<7<t^312?872n32;7p}=3b83>7}Y::i01<;i:9`8yv4383:1>vP=419>50`=0o1v?:>:181[43927:9k460:p614=838pR?:=;<36b??63ty98>4?:3y]615<58?m64<4}r070?6=:rT9895214d9=d=z{;>>6=4={_071>;6>90<86s|23594?4|V;8<70?90;56?xu5:10;6?uQ23:8940721:0q~<=9;296~X5:016=;>58c9~w74f2909wS<=a:?225<?n2wx>?l50;0xZ74e34;=<77?;|q16f<72;qU>?m4=043><7<uz89h7>52z\16a=:9?:15?5rs30f>5<5sW89i63>618:e>{t:;l1<7<t^30e?87193=?7p}=3183>7}Y:::01<8>:678yv44:3:1>vP=339>537=091v?=<:181[44;27::<47b:p662=838pR?=;;<355?>a3ty9?84?:3y]663<58<:64>4}r002?6=:rT9?;521739=4=z{;9<6=4={_003>;6>802>6s|22:94?4|V;9370?91;;b?xu5;00;6?uQ22;894052>>0q~<<a;296~X5;h16=;<5749~w75e2909wS<<b:?227<?82wx>>j50;0xZ75c34;=>76m;|q17`<72;qU>>k4=041>=`<uz;397>55z\2<0=:9<l1?=52172975=:9?;1?=52170975=z{82=6=4:{_3;2>;6=o08=63>61805>;6>808=63>63805>{t91=1<7;t^0:4?872n39970?90;11?871939970?92;11?xu6010;68uQ19:8943a2:901<8?:21894062:901<8=:218yv7?13:19vP>889>50`=;=16=;>5359>537=;=16=;<5359~w4>f290>wS?7a:?21c<4=27::=4<5:?224<4=27::?4<5:p5=d=83?pR<6m;<36b?5134;=<7=9;<355?5134;=>7=9;|q2<f<72<qU=5m4=07e>7g<58<;6?o4=042>7g<58<96?o4}r3;`?6==rT:4i5214d96g=:9?:1>o5217396g=:9?81>o5rs0:f>5<2sW;3i63>5g81g>;6>909o63>6081g>;6>;09o6s|2df94?76sW8nh63>5g822a=:9<l1=;m4=07e>40e34;>j7?9a:?225<6>m16=;>517a8940728<i70?90;35e>;6>80::i52173953e<58<:6<8m;<355?71i27::?4>6e9>534=9?i01<8=:04a?871:3;=m6s|1`694?4|V8k?70?:f;70?xu6i<0;6?uQ1`78943a2<>0q~?ld;296~X6km16=8h55g9~w4b?2909wS?k8:?21c<1i2wx=h=50;0xZ4c434;>j78j;|q2a`<72;qU=hk4=07e>3`<uz;m57>52z\2b<=:9<l1;=5rs327>5<5sW8;863>5g845>{t:9l1<7<t^32e?872n33>7p}=1`83>7}Y:8k01<8?:418yv7f>3:1>vP>a79>536===1v<l>:181[7e927::=4:f:p5ge=838pR<ll;<354?0f3ty:o;4?:3y]5f0<58<;6;k4}r3`3?6=:rT:o:5217292c=z{8i36=4={_3`<>;6>90<<6s|1b;94?4|V8i270?90;52?xu6kh0;6?uQ1bc8940720?0q~?lb;296~X6kk16=;?5529~w4ed2909wS?lc:?224<2<2wx=nk50;0xZ4eb34;==7;i;|q2gc<72;qU=nh4=042>3g<uz;o<7>52z\2`5=:9?;1:h5rs0f2>5<5sW;o=63>6085b>{t9m81<7<t^0f1?87193=;7p}>d283>7}Y9m901<8>:638yv7c<3:1>vP>d59>537=1<1v<j::181[7c=27::?4:3:p5a0=838pR<j9;<356?333ty:h:4?:3y]5a1<58<968h4}r3g=?6=:rT:h45217092d=z{8nj6=4={_3ge>;6>;0=i6s|1e`94?4|V8ni70?:f;51?xu6lj0;6?uQ1ea8943a2>90q~?kd;296~X6lm16=8h5549~w4bb2909wS?ke:?21c<2>2wx=ih50;0xZ4ba34;>j7;8;|q2a5<72;qU=h>4=07e>0><uz;n=7>52z\2a4=:9<l1945rs0g1>5<5sW;n>63>5g86e>{t9l>1<7<t^0g7?872n33=7p}>e483>7}Y9l?01<8?:608yv7b>3:1>vP>e79>536=?:1v<k8:181[7b?27::=4:5:p5`>=838pR<k7;<354?313ty:i44?:3y]5`?<58<;6894}r3fe?6=:rT:il5217291==z{8oi6=4={_3ff>;6>90>56s|1da94?4|V8oh70?90;7b?xu6mm0;6?uQ1df8940720<0q~?jf;296~X6mo16=;?5739~w4`72909wS?i0:?224<0;2wx=k?50;0xZ4`634;==7;:;|q2b7<72;qU=k<4=042>00<uz;m?7>52z\2b6=:9?;19:5rs0d7>5<5sW;m863>6086<>{t9o?1<7<t^0d6?87193?27p}>f783>7}Y9o<01<8>:4c8yv7a?3:1>vP>f69>537=1?1v<h7:181[7a027::?482:p5cg=838pR<hn;<356?143ty:jo4?:3y]5cd<58<968;4}r3eg?6=:rT:jn52170913=z{8lo6=4={_3e`>;6>;0>;6s|1gg94?4|V8ln70?:f;7a?xu6no0;6?uQ1gd8943a2<i0q~<?0;296~X58916=8h55e9~w7662909wS<?1:?21c<2m2wx>=<50;0xZ76534;>j78?;|q146<72;qU>==4=07e>37<uz8;97>52z\140=:9<l1:?5rs325>5<5sW8;:63>5g857>{t:9=1<7<t^324?872n33<7p}=0983>7}Y:9201<8?:4`8yv4713:1>vP=089>536==j1v?>n:181[47i27::=4:d:p65d=838pR?>m;<354?3b3ty9<n4?:3y]65e<58<;6;>4}r03`?6=:rT9<i52172924=z{;:n6=4={_03a>;6>90=>6s|20294?4|V;;;70?90;40?xu5980;6?uQ2038940720=0q~<>2;296~X59;16=;?55c9~w7742909wS<>3:?224<2k2wx><:50;0xZ77334;==7;k;|q150<72;qU><;4=042>0c<uz8::7>52z\153=:9?;1:=5rs334>5<5sW8:;63>60855>{t:821<7<t^33;?87193<97p}=1883>7}Y:8301<8>:718yv46j3:1>vP=1c9>537=1>1v??l:181[46k27::?4:b:p64b=838pR??k;<356?3d3ty9=h4?:3y]64c<58<968j4}r02b?6=:rT9=k5217091`=z{;8;6=4={_014>;6>;0=<6s|23394?4|V;8:70?:f;47?xu5:;0;6?uQ2308943a2??0q~<=3;296~X5::16=8h5679~w7432909wS<=4:?21c<1?2wx=l950;0xZ4g034;>j787;|q2e=<72;qU=l64=07e>3?<uz;j57>52z\2e<=:9<l1:o5rs0cb>5<5sW;jm63>5g85g>{t9hh1<7<t^0ca?872n3337p}>ab83>7}Y9hi01<8?:768yv7fl3:1>vP>ae9>536=><1v<oj:181[7fm27::=496:p5d`=838pR<oi;<354?003ty:n=4?:3y]5g6<58<;6;64}r3a6?6=:rT:n?5217292<=z{8h86=4={_3a7>;6>90=n6s|1c694?4|V8h?70?90;4`?xu6j<0;6?uQ1c7894072020q~?m6;296~X6j?16=;?5659~w4d02909wS?m7:?224<1=2wx=o650;0xZ4d?34;==789;|q2f<<72;qU=o74=042>31<uz;im7>52z\2fd=:9?;1:55rs0`a>5<5sW;in63>6085=>{t9kn1<7<t^0`g?87193<i7p}>bd83>7}Y9ko01<8>:7a8yv7en3:1>vP>bg9>537=111v<m?:181[7d827::?494:p5f7=838pR<m>;<356?023ty:o?4?:3y]5f4<58<96;84}r3`7?6=:rT:o>52170922=z{8i?6=4={_3`0>;6>;0=46s|16a94?3|V8=h70?:f;00?871838870?91;00?871:3887p}>7e83>0}Y9>n01<;i:36894072;>01<8>:36894052;>0q~?8e;291~X6?l16=8h5249>536=:<16=;?5249>534=:<1v<9i:186[70n27:9k4=6:?225<5>27::<4=6:?227<5>2wx=5>50;7xZ4>734;>j7<8;<354?4034;==7<8;<356?403ty:4<4?:4y]5=7<58?m6<l4=043>4d<58<:6<l4=041>4d<uz;3>7>55z\2<7=:9<l1=n5217295f=:9?;1=n5217095f=z{8286=4:{_3;7>;6=o0:h63>6182`>;6>80:h63>6382`>{t:lh1<7?>{_0ff>;6=o0::55214d9531<58?m6<89;<36b?71=27::=4>699>536=9?=01<8?:045?87183;=963>60822==:9?;1=;94=042>40134;==7?95:?227<6>116=;<51758940528<=70?92;351>{zf=?26=4>{I357>{i<<k1<7?tH040?xh3=k0;6<uG1718yk22k3:1=vF>629~j13c290:wE?93:m00c=83;pD<8<;|l71c<728qC=;=4}o654?6=9rB::>5rn542>5<6sA;=?6sa47094?7|@8<87p`;6283>4}O9?90qc:94;295~N6>:1vb98::182M71;2we8;850;3xL4043td?::4?:0yK535<ug>=47>51zJ226=zf=<26=4>{I357>{i<?k1<7?tH040?xh3>k0;6<uG1718yk21k3:1=vF>629~j10c290:wE?93:m03c=83;pD<8<;|l72c<728qC=;=4}o644?6=9rB::>5rn552>5<6sA;=?6sa46094?7|@8<87p`;7283>4}O9?90qc:84;295~N6>:1vb99::182M71;2we8:850;3xL4043td?;:4?:0yK535<ug><47>51zJ226=zf==26=4>{I357>{i<>k1<7?tH040?xh3?k0;6<uG1718yk20k3:1=vF>629~j11c290:wE?93:m02c=83;pD<8<;|l73c<728qC=;=4}o6;4?6=9rB::>5rn5:2>5<6sA;=?6sa49094?7|@8<87p`;8283>4}O9?90qc:74;295~N6>:1vb96::182M71;2we85850;3xL4043td?4:4?:0yK535<ug>347>51zJ226=zf=226=4>{I357>{i<1k1<7?tH040?xh30k0;6<uG1718yk2?k3:1=vF>629~j1>c290:wE?93:m0=c=83;pD<8<;|l7<c<728qC=;=4}o6:4?6=9rB::>5rn5;2>5<6sA;=?6sa48094?7|@8<87p`;9283>4}O9?90qc:64;295~N6>:1vb97::182M71;2we84850;3xL4043td?5:4?:0yK535<ug>247>51zJ226=zf=326=4>{I357>{i<0k1<7?tH040?xh31k0;6<uG1718yk2>k3:1=vF>629~j1?c290:wE?93:m0<c=83;pD<8<;|l7=c<728qC=;=4}o6b4?6=9rB::>5rn5c2>5<6sA;=?6sa4`094?7|@8<87p`;a283>4}O9?90qc:n4;295~N6>:1vb9o::182M71;2we8l850;3xL4043td?m:4?:0yK535<ug>j47>51zJ226=zf=k26=4>{I357>{i<hk1<7?tH040?xh3ik0;6<uG1718yk2fk3:1=vF>629~j1gc290:wE?93:m0dc=83;pD<8<;|l7ec<728qC=;=4}o6a4?6=9rB::>5rn5`2>5<6sA;=?6sa4c094?7|@8<87p`;b283>4}O9?90qc:m4;295~N6>:1vb9l::182M71;2we8o850;3xL4043td?n:4?:0yK535<ug>i47>51zJ226=zf=h26=4>{I357>{i<kk1<7?tH040?xh3jk0;6<uG1718yk2ek3:1=vF>629~j1dc290:wE?93:m0gc=83;pD<8<;|l7fc<728qC=;=4}o6`4?6=9rB::>5rn5a2>5<6sA;=?6sa4b094?7|@8<87p`;c283>4}O9?90qc:l4;295~N6>:1vb9m::182M71;2we8n850;3xL4043td?o:4?:0yK535<ug>h47>51zJ226=zf=i26=4>{I357>{i<jk1<7?tH040?xh3kk0;6<uG1718yk2dk3:1=vF>629~j1ec290:wE?93:m0fc=83;pD<8<;|l7gc<728qC=;=4}o6g4?6=9rB::>5rn5f2>5<6sA;=?6sa4e094?7|@8<87p`;d283>4}O9?90qc:k4;295~N6>:1vb9j::182M71;2we8i850;3xL4043td?h:4?:0yK535<ug>o47>51zJ226=zf=n26=4>{I357>{i<mk1<7?tH040?xh3lk0;6<uG1718yk2ck3:1=vF>629~j1bc290:wE?93:m0ac=83;pD<8<;|l7`c<728qC=;=4}o6f4?6=9rB::>5rn5g2>5<6sA;=?6sa4d094?7|@8<87p`;e283>4}O9?90qc:j4;295~N6>:1vb9k::182M71;2we8h850;3xL4043td?i:4?:0yK535<ug>n47>51zJ226=zf=o26=4>{I357>{i<lk1<7?tH040?xh3mk0;6<uG1718yk2bk3:1=vF>629~j1cc290:wE?93:m0`c=83;pD<8<;|l7ac<728qC=;=4}o6e4?6=9rB::>5rn5d2>5<6sA;=?6sa4g094?7|@8<87p`;f283>4}O9?90qc:i4;295~N6>:1vb9h::182M71;2we8k850;3xL4043td?j:4?:0yK535<ug>m47>51zJ226=zf=l26=4>{I357>{i<ok1<7?tH040?xh3nk0;6<uG1718yk2ak3:1=vF>629~j1`c290:wE?93:m0cc=83;pD<8<;|l7bc<728qC=;=4}o734?6=9rB::>5rn422>5<6sA;=?6sa51094?7|@8<87p`:0283>4}O9?90qc;?4;295~N6>:1vb8>::182M71;2we9=850;3xL4043td><:4?:0yK535<ug?;47>51zJ226=zf<:26=4>{I357>{i=9k1<7?tH040?xh28k0;6<uG1718yk37k3:1=vF>629~j06c290:wE?93:m15c=83;pD<8<;|l64c<728qC=;=4}o724?6=9rB::>5rn432>5<6sA;=?6sa50094?7|@8<87p`:1283>4}O9?90qc;>4;295~N6>:1vb8?::182M71;2we9<850;3xL4043td>=:4?:0yK535<ug?:47>51zJ226=zf<;26=4>{I357>{i=8k1<7?tH040?xh29k0;6<uG1718yk36k3:1=vF>629~j07c290:wE?93:m14c=83;pD<8<;|l65c<728qC=;=4}o714?6=9rB::>5rn402>5<6sA;=?6sa53094?7|@8<87p`:2283>4}O9?90qc;=4;295~N6>:1vb8<::182M71;2we9?850;3xL4043td>>:4?:0yK535<ug?947>51zJ226=zf<826=4>{I357>{i=;k1<7?tH040?xh2:k0;6<uG1718yk35k3:1=vF>629~j04c290:wE?93:m17c=83;pD<8<;|l66c<728qC=;=4}o704?6=9rB::>5rn412>5<6sA;=?6sa52094?7|@8<87p`:3283>4}O9?90qc;<4;295~N6>:1vb8=::182M71;2we9>850;3xL4043td>?:4?:0yK535<ug?847>51zJ226=zf<926=4>{I357>{i=:k1<7?tH040?xh2;k0;6<uG1718yk34k3:1=vF>629~j05c290:wE?93:m16c=83;pD<8<;|l67c<728qC=;=4}o774?6=9rB::>5rn462>5<6sA;=?6sa55094?7|@8<87p`:4283>4}O9?90qc;;4;295~N6>:1vb8:::182M71;2we99850;3xL4043td>8:4?:0yK535<ug??47>51zJ226=zf<>26=4>{I357>{i==k1<7?tH040?xh2<k0;6<uG1718yk33k3:1=vF>629~j02c290:wE?93:m11c=83;pD<8<;|l60c<728qC=;=4}o764?6=9rB::>5rn472>5<6sA;=?6sa54094?7|@8<87p`:5283>4}O9?90qc;:4;295~N6>:1vb8;::182M71;2we98850;3xL4043td>9:4?:0yK535<ug?>47>51zJ226=zf<?26=4>{I357>{i=<k1<7?tH040?xh2=k0;6<uG1718yk32k3:1=vF>629~j03c290:wE?93:m10c=83;pD<8<;|l61c<728qC=;=4}o754?6=9rB::>5rn442>5<6sA;=?6sa57094?7|@8<87p`:6283>4}O9?90qc;94;295~N6>:1vb88::182M71;2we9;850;3xL4043td>::4?:0yK535<ug?=47>51zJ226=zf<<26=4>{I357>{i=?k1<7?tH040?xh2>k0;6<uG1718yk31k3:1=vF>629~j00c290:wE?93:m13c=83;pD<8<;|l62c<728qC=;=4}o744?6=9rB::>5rn452>5<6sA;=?6sa56094?7|@8<87p`:7283>4}O9?90qc;84;295~N6>:1vb89::182M71;2we9:850;3xL4043td>;:4?:0yK535<ug?<47>51zJ226=zf<=26=4>{I357>{i=>k1<7?tH040?xh2?k0;6<uG1718yk30k3:1=vF>629~j01c290:wE?93:m12c=83;pD<8<;|l63c<728qC=;=4}o7;4?6=9rB::>5rn4:2>5<6sA;=?6sa59094?7|@8<87p`:8283>4}O9?90qc;74;295~N6>:1vb86::182M71;2we95850;3xL4043td>4:4?:0yK535<ug?347>51zJ226=zf<226=4>{I357>{i=1k1<7?tH040?xh20k0;6<uG1718yk3?k3:1=vF>629~j0>c290:wE?93:m1=c=83;pD<8<;|l6<c<728qC=;=4}o7:4?6=9rB::>5rn4;2>5<6sA;=?6sa58094?7|@8<87p`:9283>4}O9?90qc;64;295~N6>:1vb87::182M71;2we94850;3xL4043td>5:4?:0yK535<ug?247>51zJ226=zf<326=4>{I357>{i=0k1<7?tH040?xh21k0;6<uG1718yk3>k3:1=vF>629~j0?c290:wE?93:m1<c=83;pD<8<;|l6=c<728qC=;=4}o7b4?6=9rB::>5rn4c2>5<6sA;=?6sa5`094?7|@8<87p`:a283>4}O9?90qc;n4;295~N6>:1vb8o::182M71;2we9l850;3xL4043td>m:4?:0yK535<ug?j47>51zJ226=zf<k26=4>{I357>{i=hk1<7?tH040?xh2ik0;6<uG1718yk3fk3:1=vF>629~j0gc290:wE?93:m1dc=83;pD<8<;|l6ec<728qC=;=4}o7a4?6=9rB::>5rn4`2>5<6sA;=?6sr}|BCG~17j3ioi;<=0bCDG}7uIJ[wpNO
\ No newline at end of file
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$44640<,[o}e~g`n;"2*726&;$9,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;;1:BA4=6;2;%<<:4158JJUSS2HNO^L2>3;2=51=6<3CE\XZ5AEFQF974294:7?64220166479;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?31?64=AGZ^X7jfn=12>586;2996B[[PTV9@JG;;80;2<=4338LQQVR\3NDN1=>:1<20>552F__\XZ5dnww867=8720?>=<03235>2692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?1<NFY__6ZKM<283:44<<3E^X][[:EMSE95=87;9794@UURVP?BHXK686=0>;7082<2<>03===59984:23?>>?3=548=;7C7?3GFI11=MLONA@C24>0FIHKJMLONA@CBED`<>zfs88zigf6;0(553>L:75:483620>>3:8;0595601;1?<0?30<=5;766028=30>>03=:479974:5`=>2@D[YY4rne\ahvsqV~c~h}g<983:73<13CE\XZ5psmd[`kw|pUdk|h^cpw`ts410;2?;49;KMTPR=x{elShctx]wlwct`Vdnklzj<983:4b<13CE\XZ5psmd[cskdV~c~h}g<983:72<13CE\XZ5psmd[cskdV~c~h}g_`qpawr;03:5>956:HLSQQ<wzfmTjxbc_ujqavnXflmjxh27:1<2<>?=G\^[YY4kauc\gjsi|521<3?m;88LQQVR\3xoSio{a^alqkr;03:5=o56:NWWTPR={UomyoPcnwmp9>=8720MLON6@CB55=FIHKJMLO9A@CBEDG?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;><IMNYM1918:CG@WG;0720MIJ]A=;=<>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?0m;@FGVG:6;3:556OKDS@?568?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<255NDEPA8=8?3HNO^O26>29BHI?<IZSEOR\JG29AQ7><J\YTECH@1:A1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOOD2<KEAI?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6Jl;ECWEZQNL]BTMn5KAUC\SLBS@VH97IG9;EKB85803MCJ0<>17:FJE9766>1OEL2>2?58@LG;9:4<7IGN<06=3>BNI5;>2:5KI@>22;1<L@K7=:08;EKB84>9?2NBM1?6>79GMD:66>1OEL2=0?58@LG;:84<7IGN<30=3>BNI5882:5KI@>10;1<L@K7>808;EKB8709?2NBM1<8>69GMD:507=0HDO328<5?AOF4;4<7IGN<22==>BNI59:6=08;EKB8679>2NBM1=16:FJE929>2NBM1;16:FJE909>2NBM1916:FJE9>9>2NBM1716:FJF969?2NBN1??>69GMG:697=0HDL313<4?AOE4895;6JFB=37:2=CAK6:9394DH@?53803MCI0<917:FJF97?6>1OEO2>9?48@LD;97=0HDL321<4?AOE4;;5;6JFB=01:2=CAK69?394DH@?61803MCI0?;17:FJF9416>1OEO2=7?58@LD;:14<7IGM<3;=2>BNJ585;6JFB=13:<=CAK68=7>17:FJF9566?1OEO2<>79GMG:36?1OEO2:>79GMG:16?1OEO28>79GMG:?6?1OEO26>69GMUG;87=0HD^N<0<4?AOWI58556JFP@>0>5803MC[M1=17:FJTG:76>1OE]L31?58@LVE4;427IG_B=194;1<L@ZI0>09;EMB85803MEJ0<>17:FLE9766>1OCL2>2?58@JG;9:4<7IAN<06=3>BHI5;>2:5KO@>22;1<LFK7=:08;EMB84>9?2NDM1?6>79GKD:66>1OCL2=0?58@JG;:84<7IAN<30=3>BHI5882:5KO@>10;1<LFK7>808;EMB8709?2NDM1<8>69GKD:507=0HBO328<5?AIF4;4<7IAN<22==>BHI59:6=08;EMB8679>2NDM1=16:FLE929>2NDM1;16:FLE909>2NDM1916:FLE9>9>2NDM1717:FLEZTBO?1OCO2?>69GKG:687=0HBL310<4?AIE4885;6J@B=30:2=CGK6:8394DN@?50803MEI0<817:FLF9706>1OCO2>8?58@JD;904=7IAM<0<4?AIE4;:5;6J@B=02:2=CGK69>394DN@?66803MEI0?:17:FLF9426>1OCO2=6?58@JD;:>4<7IAM<3:=3>BHJ5822;5KOC>1:2=CGK68<374DN@?74<76>1OCO2<1?48@JD;;7<0HBL34?48@JD;=7<0HBL36?48@JD;?7<0HBL38?48@JD;17=0HBLPRDE4?AIWI5:5;6J@P@>2:2=CGYK7>374DNRB86<76>1OC]O33?58@JVE494<7IA_B=3=3>BHXK69245KOQ@?7?69?2ND\O2<>39F06=BFH90ICLm;DL\VVRUIDUJn6KA_SQWVDKXJ=1M>K>:;GCOW@4<NM90JIM;;GF@A6=ALY>0JI^J1:E0?BHC92C97D>=;H31?L453@997D:=;H7;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K84IOKW[D0<AGC_SO74IOKW[COKM:1BB[<4LH48HJGCMM=0@BIFC@N7?ISS:=1GYY=;;MWW01=K]]??7A[[649NWBII=2G^TNWl;LcikwPbzzcdbn5BiomqR`ttafd97C?;;O3361=I999?7C??459M55333G;;:95A1157?K770=1E==7<;O320>H699>0B<?>4:L2572<F8;886@>1568J472<2D:=;:4N0340>H691>0B<?63:L261=I9;:?7C?=159M57433G;9?95A1367?K75==1E=?8;;O3131=I9;2?7C?=929M562<F89;86@>3068J455<2D:?>:4N0170>H6;190B<:;;O3746=I9<90B<8;;O35=6=I9>90B<6<;O3:6>H5;2D9<>5A2018J7443G88?6@=429M605<F;<87C<83:L1<6=I:090B>><;O127>H4::1E?>=4N260?K52;2D8:>5A3618J6>43G92>6@;3:L746=I<890B9<<;O607>H3<;1E9?5A729M<45<F1887C6<3:L;06=I0<90B58<;O:47>H?0:1E44<4N818J<643G3:?6@6229M=65<F0>87C7:3:L:26=I1>90B46i;O@\FPUWAGU[^B^\7:LFPRIUC=1ECCK>;N08KN7<X=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB12Z@SEBZTOO0?UTB92[j7_OBB04AS@T13[OLOHO9;SGDG@D33[Y_M95]SU@4?WUS\PZNi6\\T^RQMJTN\PUJi6\\T^RQMJTN\PUI=6]>3:QJIZEHDECXEB@PCIG@Od=TADUOI[GLE79PKPTDM11X\L\[AO44?VTQIEUJ;6]]V@N\F1=TQGI?7Y\ZE59W]UC4l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR:VS_JPPOVQ_4[XPFXT9?Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR;VS_JPPOVQ_7[XPFXT9<Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR8VS_JPPOVQ_6[XPFXT9=Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR9VS_JPPOVQ_1[XPFXT84Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR>VS_JPPOVQ_0[XPFXT85Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR?VS_JPPOVQ_3[XPFXT8:Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR<VS_JPPOVQ_2[XPFXT8;Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR=VS_JPPOVQ_=[XPFXT88Q`_ym?4;74k2_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR:VSZ\PPOVQ_4[XPFXT4RaPxn>3:45d3\:$kh!rg-dg}(ddbr$Aua}_VP\TKRUS8WT[_Q_NUPX6XY_G[U<SbQwo=2=56e<]9%l~k }f.e`|+ekcq%Ftb|PWS]SJQT\:TU\^R^ATSY0YZ^HZV<TcRv`<1<27f=R8&myj#|i/fa{*fjlp&GscQXR^RMPW]4UV]YS]@[RZ6^[]IUW<UdSua30?30g>S7'nxm"h gbz-gim'Drd~RY]_QLWV^2ZW^XT\CZ][4_\\JTX<VeTtb2?>01`?P6(o{l%~k!hcy,`hn~(EqeySZ\PPOVQ_0[X_[U[BY\T6\][KWY4WfUsc1>112a8Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX2XYPZVZEX_U8]^ZLVZ4XgVrd0=0>3b9V4*aun'xm#jmw.bnh|*Kg{U\^R^ATSY4YZQUWYD_^V6R_YMQ[4YhWqe7<3?<1:W3+bta&{l$knv!cmi{+WBXXG^YW=SPRE]SJQT\9TUSC_Q:2^m05>S7'nxm"h gbz-gim'[NT\CZ][0_\VAYWF]XP>PQWOS]65Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_7[XZMU[BY\T3\][KWY28Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS:WT^IQ_NUPX0XY_G[U?5Ra<1:W3+bta&{l$knv!cmi{+WBXXG^YW9SPRE]SJQT\=TUSC_Q;8^m05>S7'nxm"h gbz-gim'[NT\CZ][4_\VAYWF]XP:PQWOS]73Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_3[XZMU[BY\T7\][KWY3>Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS>WT^IQ_NUPX<XY_G[U?9Ra<0:W3+bta&{l$knv!cmi{+RTXXG^YW=SPWS]SJQT\9TUSC_Q7_n13?P6(o{l%~k!hcy,`hn~(_[U[BY\T1\]TVZVI\[Q9QRV@R^5\k66<]9%l~k }f.e`|+ekcq%\^R^ATSY1YZQUWYD_^V=R_YMQ[3Yh;91^<"i}f/pe+be&jf`t"Y]_QLWV^5ZW^XT\CZ][5_\\JTX=Ve8<6[?/fpe*w`(ojr%oaew/VP\TKRUS=WT[_Q_NUPX1XY_G[U?Sb=?;T2,cw`)zo%lou lljz,SWYWF]XP9PQXR^RMPW]1UVRD^R=Po228Q5)`zo$yj"ilx/aoo})PZVZEX_U9]^UQ[UHSZR=VSUA]_3]l75=R8&myj#|i/fa{*fjlp&]YS]@[RZ5^[RTXXG^YW5SPXNP\5Zi592_;#j|i.sd,cf~)keas#jPpovq[goi4949=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0<0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<3<15>S7'nxm"h gbz-gim'{nT|cz}_ckm868592_;#j|i.sd,cf~)keas#jPpovq[goi4=49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce080=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<7<15>S7'nxm"h gbz-gim'{nT|cz}_ckm828592_;#j|i.sd,cf~)keas#jPpovq[goi4149<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS=<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P1328Q5)`zo$yj"ilx/aoo})ulVzexQmio]165=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#jPpovq[goiW=8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT9?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ9219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^514>S7'nxm"h gbz-gim'{nT|cz}_ckm[=473\:$kh!rg-dg}(ddbr$~iQnup\cf:76;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5;5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0?0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm33?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>7:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg939:91^<"i}f/pe+be&jf`t"|k_qlwvZad4?49<6[?/fpe*w`(ojr%oaew/sf\tkruWni7;3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn27>0d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^22b>S7'nxm"h gbz-gim'{nT|cz}_fa\54`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ46n2_;#j|i.sd,cf~)keas#jPpovq[beX;8l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV>:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT9<h4U1-dvc(un&mht#mcky-q`Zvi|{UloR8>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP70d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^:12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5:5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>2:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7>3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8685>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1:1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:26;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi36?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4>49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=:=60=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]260=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU9>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]060=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU?>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]660=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU=>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]460=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU3>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5969:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g59>9;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P17]l7d=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT=;Q`12;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW8=Tc>o4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4]?UVxnhxmj_ymq[41Xg89m7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3;5Z~h494:?45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5^>ZW{ooynkPxnp\5=Yh;o1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P183\|j:768927X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^3:[j5a3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?T8\]qaasdmVrd~R<?1^zl8586;01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z:^[wcc}joTtb|P21]l7`=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT><?Pxn>3:6?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<U7]^pf`pebWqeyS??Po2g8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW;8:Sua30?61?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV89=Rv`<1<\WR6412_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>[9_\v`brklUscQ=2^m0a>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U9?<Qwo=2=7<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT>>Q`299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^:15>S7'nxm"h gbz-gim'~xT|cz}_ckm858592_;#j|i.sd,cf~)keas#z|Ppovq[goi4849=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0?0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<2<15>S7'nxm"h gbz-gim'~xT|cz}_ckm818592_;#j|i.sd,cf~)keas#z|Ppovq[goi4<49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0;0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<6<15>S7'nxm"h gbz-gim'~xT|cz}_ckm8=8582_;#j|i.sd,cf~)keas#z|Ppovq[goiW98;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT=?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ=219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^114>S7'nxm"h gbz-gim'~xT|cz}_ckm[1473\:$kh!rg-dg}(ddbr${Qnup\flhX=;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU=>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR9=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_903?P6(o{l%~k!hcy,`hn~({U{by|Pgb>3:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg979:91^<"i}f/pe+be&jf`t"y}_qlwvZad4;49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7?3<?;T2,cw`)zo%lou lljz,swYwf}xTkn2;>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=7=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`838582_;#j|i.sd,cf~)keas#z|Ppovq[be;?78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj632<h4U1-dvc(un&mht#mcky-tvZvi|{UloR>>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP10d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^02b>S7'nxm"h gbz-gim'~xT|cz}_fa\74`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ26n2_;#j|i.sd,cf~)keas#z|Ppovq[beX=8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV<:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT;<h4U1-dvc(un&mht#mcky-tvZvi|{UloR6=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc969:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2>>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;:78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<2<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5>5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>6:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7:3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8285>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk161249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY7:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ>249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY5:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ<249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY3:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ:249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY1:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ8249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY?:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=2=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1?1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9585>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5959:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=6=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1;1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95<5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5919:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=:=7`=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=V6R_sggqfcXpfxT==?Pxn>3:14<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS<>>_ym?4;YT_9927X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^33[j5b3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?T8\]qaasdmVrd~R?>1^zl858412_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[9_\v`brklUscQ>1^m0<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U?Sb=6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ2Xg8937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^7\k6?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS8Q`12g8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW?;Ttb2?>01;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV<Tc>k4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4]?UVxnhxmj_ymq[27Xpf6;2<=7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ1Xg:o0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0Y;YZtbl|inSua}_93\|j:768937X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^:\k6b<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4?Pxn>3:6><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS4Q`299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V:946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[44?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P23:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U8>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z2502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_40;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T:?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y0:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^:1a>S7'nxm"h gm2-va)`zhy%~~z|/b2,chs&jky~t`jt=2=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'ij~waeu>2:7c<]9%l~k }f.eo4+tc'nxj#||tr-`4*aj}q$hm|vndv?6;4b3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%ol|}yogw8685n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(ods"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$k`{w.foX6X(`ez$f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*bk\<T$la~ bs318Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28585<2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~86;2<<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=3=61=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;97;9?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2=>368Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28786::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7?3<;;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=1=575<]9%l~k }f.eo4+tc'nxj#||tr-`4*p64=4986[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2;>00f?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)khxyuck{<1<1a>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&jky~t`jt=3=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'ij~waeu>1:7c<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$hm|vndv?7;4a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U?]/en5+k6:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[0_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY1Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_6[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]3U'mf#c|229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?4;433\:$kh!rg-dh5(ul&mym~ }suq,g4)q95:5=?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><0<10>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:668887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1<1259V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?6;75;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8682?:4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><2<266=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7;<78?7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1:11328Q5)`zo$yj"ic0/pg+btf{'xxx~!lolr36a=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l6`=R8&myj#|i/fn3*wb(o{kx"}{s.aliu6Xkfg{=RA]_4]l576<]9%l~k }f.eo4+tc'nxj#||tr-`khv6:01^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j63=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTe9>4U1-dvc(un&mg<#|k/fpkb+ton&GfyuQ}al]ueiocW`dSJ@K_6;\kZKRPV;9Sb?>279V4*aun'xm#jb?.sf,cwna&{bm#ob_wcomaYc9:30Y=!hrg,qb*ak8'xo#j|gf/pkb*tfeV|j`djPiov\CKBX?0UdS@[W_00\k4b<]9%l~k }f.eo4+tc'{kfSjPeo]j515<]9%l~k }f.eo4+tc'{zex!Bmtz\cf6)kfexV6R_FLG[3?XgVg~tR<POTV25ZOI^V:8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\;;8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU=5RaPmtz\6ZIR\:;9?6[?/fpe*w`(oe:%~i!}povq+be7&je~by2?>318Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw8485;2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}692?=4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{<2<17>S7'nxm"h gm2-va)uxg~y#jm?.bmvjq:36;90Y=!hrg,qb*ak8'xo#~ats-dg5(dg|d080=3:W3+bta&{l$ka>!re-qtkru'ni;"naznu>5:75<]9%l~k }f.eo4+tc'{zex!hc1,`kphs4>49?6[?/fpe*w`(oe:%~i!}povq+be7&je~by27>2;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6;2>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:66:30Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>1:6?<]9%l~k }f.eo4+tc'{zex!hc1,`kphsS1WTKCJP68]l[hsW;UDYY2<>2`8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]682<?<a:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4:49?h5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczT8\]DJAY11VeTaxvP2^MVP959Wkno<>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:36:k0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\2<YhWdsS?Q@UU>7:45>3\:$kh!rg-dh5(ul&x{by| gb2-gjsi|R2VSJ@K_7;\kZkrpV8TCXZ35?1b?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS;7Po^ov|Z4XG\^793?<9:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV<2SbQbuy]1[JSS4?48m6[?/fpe*w`(oe:%~i!}povq+be7&je~byU7]^EM@Z0>WfUfyuQ=_NWW8386;01^<"i}f/pe+bj7&{n$~}`{r.e`4+eh}g~P4PQHNE]5=ZiXe|rT>RAZT=5=7d=R8&myj#|i/fn3*wb(zyd~"il0/alqkr\0TULBIQ99^m\ip~X:VE^X19112;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]632>j4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX>0UdS`{w_3]LQQ:?6VY\<?<4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{_101?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZ75:2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}U9>?5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczP3308Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw[1453\:$kh!rg-dh5(ul&x{by| gb2-gjsi|V?9>6[?/fpe*w`(oe:%~i!}povq+be7&je~byQ9239V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\374<]9%l~k }f.eo4+tc'{zex!hc1,`kphsW18=7X> gsd-vc)`d9$yh"|nup,cf6)kfexRj><1<12>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc95;5>;5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczPd0>1:70<]9%l~k }f.eo4+tc'{zex!hc1,`kphsWm;7?3<9;T2,cw`)zo%l`= }d.psjqt(oj:%ob{at^f28185>2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=1;1279V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\`4:16;<0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dSi?37?05?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZb6414996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^211>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V;996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^011>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V9996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^611>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V?996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^411>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V=996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^:1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>3:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^az8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\g|:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Ze~4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xkp6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vir080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Tot29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rmv<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pcx>;:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^nvp979;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[iss4;48<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^l3473Xd|~7?3=?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[k67:<Ugyy2;>228Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXf9:99Rbzt=7=75=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUe<=<:_mww838482_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohR`?037\hpr;?79;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_o2360Yk}}632>>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\j565=Vf~x171319V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYi898>Sua}<0<04>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVd;<?;Pxnp?6;573\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSc>?24]{kw:46::0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPn1211Z~hz5>5?=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]m4542Wqey080<0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`Zh78;?Ttb|36?13?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWg:;>8Qwos>4:66<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnTb=>=5^zlv9>9;91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQa0106[}iu4048?6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@m`mqcq[Zh78:8Tot2?>218Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-Ngjkwi{UTb=><2^az8484;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xkp692>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYXf9:8>Rmv<2<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySR`?020\g|:36:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~x1>1359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww8484<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~7>3=;;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXWg:;??Qcuu>0:62<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQPn1206Zjr|5>5?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZYi8999Sa{{dh17?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTSc>?33]oqqbn9:>0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]\j564:Vf~xig=359V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVUe<===_mww`l54<2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_^l3464Xd|~oe9==;T2,cw`)zo%l`= xr.etev(`xo$|~}h MbmntdtXf9:;9Rmv<1<06>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]`}979;;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&Ghc`~nr^l3453Xkp692><4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Snw33?11?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IfijxhxTb=>?5^az8184;2_;#j|i.sd,ci6){%l{l}!gqd-swva'Dida}o}_o2340Yk}}6;2>=4U1-dvc(un&mg<#y}/fubw+awn'}y|k!BcnosewYi89:>Sa{{<0<07>S7'nxm"h gm2-sw)`hy%k}h!wsre+HeheykySc>?04]oqq:56:90Y=!hrg,qb*ak8'}y#jyns/esb+quxo%Fobcas]m4562We0>0<3:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/LaliuguWg:;<8Qcuu>7:64<]9%l~k }f.eo4+qu'n}j#if/uqtc)Jkfg{mQa0126[issl`987X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Anabp`p\j567=Vf~xig>329V4*aun'xm#jb?.vp,crgt&nzm"z|f.O`khvfzVd;<=;Pltvgm7543\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(Ejef|l|Pn1231Zjr|mc8?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"ClolrbvZh789?T`xzki563?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,[ZY_DGGTSR>P_^O`khvfzVd;<=;Pltvgm17<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_0]\[HeheykySc>?04]oqqbn9=;0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[7YXWDida}o}_o2340Yk}}nb>9?4U1-dvc(un&mg<#y}/fubw+awn'}y|k!P_^ZOJHYXW:UTS@m`mqcq[k678<Ugyyjf3538Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-\[Z^KFDUTS9QP_LaliuguWg:;<8Qcuufj017<]9%l~k }f.eo4+qu'n}j#if/uqtc)XWVRGB@QP_4]\[HeheykySR`?020\hprca=80Y=!hrg,qb*ak8'}y#jyns/esb+quxo%TSRVCNL]\[3YXWDida}o}_^l3464Xd|~oe<:=;T2,cw`)zo%l`= xr.etev(`xo$|~}h _^][HKKXWV=TSRClolrbvZYi8999Sa{{dh076>S7'nxm"h gm2-sw)`hy%k}h!wsre+ZYXPEDFSRQ7_^]Ngjkwi{UTb=><2^nvpao4<;1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&UTSUBAM^]\=ZYXEjef|l|P_o2377Yk}}nb8?;4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Pn12067`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8485n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:56;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4:49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim6?2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko080=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi29>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<6<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>;:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8<85m2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaY6:l1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbX:;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW:8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV>9i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU>>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT:?k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS:<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR6=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ6269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;978<7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$b=>=5=0=62=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*h78;?7?3<8;T2,cw`)zo%l`= xr.etev(`xo$|~}h n1211929:>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&d;<?;35?04?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,j565=5<5>:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"`?037?3;403\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(f9:99161269V4*aun'xm#jb?.vp,crgt&nzm"z|f.l3473;178j7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZo492_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[wusxf~856[?/fpe*w`(oe:%{!hw`q-svrt'Dg~tRm`mq3\CKBX0:UdS@[W_06\k475m2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"no}rxlfp969:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'ng~t#mnrs{maq:66;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu laspzj`r;:78n7X> gsd-vc)`d9$|~"ixar,twqu(k8%laxv!c`pq}kcs4:49j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX4X(`e8$f=?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^7Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\:T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR9V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP8P hmr,nw75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6494986[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2?>000?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5979:=1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';7=3?=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>1:72<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64;4:>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?33?07?P6(o{l%~k!hl1,tv*apiz$|y} c0-u59599;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:090=4:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>7:44b3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%ol|}yogw8585m2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"no}rxlfp979:l1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#mnrs{maq:56;o0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu laspzj`r;;78m7X> gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#jczx/en_4[)ody%a~<i;T2,cw`)zo%l`= xr.etev(p{}y$o?!hmtz-ch]5U'mf#c|2g9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;878?7X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1>11318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28485<2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~86:2<<<;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=0=61=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;:7;9?6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2<>368Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28686::1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';783<;;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=6=576<]9%l~k }f.eo4+qu'n}j#y|tr-`khv6;;1^<"i}f/pe+bj7&~x$kzo|.vqww*ehey;TKCJP82]l[HS_W8>Tc?>4U1-dvc(un&mg<#y}/fubw+qt|z%hc`~=299V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYajVc996[?/fpe*w`(oe:%{!hw`q-svrt'{kfSk{cl^k2`>S7'nxm"h gm2-sw)uidU|~Rka_h32b>S7'nxm"h gm2-sw)uidU|~Rka_h3\575<]9%l~k }f.eo4+qu'{kfSz|Peo]j5Zebxf~956[?/fpe*w`(oe:%{!}al]tvZciW`;Toh~`t^KMRZ6502_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idycz30?0;?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}6:2?64U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp949:11^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{<2<1<>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~783<7;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjq:26;20Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at=4=6==R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|d0:0=8:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkr;07>;7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4:4:=9=4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^7?3Qmde20a>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~P4PQHNE];5ZiXe|rT>RAZT=7=7c=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dW5SPGOF\<4YhWdsS?Q@UU>6:45b3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byU7]^EM@Z>6WfUfyuQ=_NWW8384n2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczT8\]DJAY?9VeTaxvP2^MVP9099:o0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{atZ:^[BHCW1;TcRczx^0\KPR;?79m7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznuY;YZAILV2:SbQbuy]1[JSS4>4:?h5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw_=[XOGNT4<Q`_lw{[7YH]]6329<4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmp^>ZWNDOS5?Po^ov|Z4XG\^743Q\W104?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U;>n5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[5Ycxf~9;6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\57e<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexR?Pdqmw62=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dS?<l;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqY5Wmzdx?94U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ55k2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczP3^fskq403\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQ;2b9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsW=Uo|bz=7:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrX=;i0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^7\`uis:>1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_704?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}U<>:5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[=4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=2=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?31?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=1<12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm;7?3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc95>5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7;=78i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g5909:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3?3;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk1=:=6d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi?P03c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn:S<<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc9V89m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`4Y4:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e3\07g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj>_40b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo=R8=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl8U<>l5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a7X0;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18585j2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3>2:7d<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=<3<1f>S7'nxm"h gm2-sw)pxg~y#jgl0/e`5+eh}g~Th?2<>3`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9090=b:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;6>2?l4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZb54?49n6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7:06;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj;%ob{at^f18=85i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd3]36d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi<P13c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn9S?<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc:V99m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`7Y3:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e0\17g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj=_70b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo>R9=a:W3+bta&{l$ka>!ws-ttkru'nch<#il1/alqkrXl;U3>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;878i7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7979:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1?6;4e3\:$kh!rg-dh5(pz&}{by| gha3*be6&je~byQk3=1=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=34?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?1;12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc0,`kphsWm97:3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;5=5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo< lotlw[a5;078j7X> gsd-vc)`d9$|~"ynup,cle7&ni:"naznu]g7Z65i2_;#j|i.sd,ci6){%||cz}/fk`4+ad9'idyczPd2]26d=R8&myj#|i/fn3*rt(yd~"ifc1,dg4(dg|dSi=P23c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb3-gjsi|Vn8S><n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm>.bmvjqYc;V>9m6[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\`6Y2:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k8$hcx`{_e1\27g<]9%l~k }f.eo4+qu'~zex!hib2-cf7)kfexRj<_60b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa2*firf}Uo?R688:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V>R.scn*w)t>Vddecg{.scn+VBW&ZCF\AKPPSKN5=(uid;m7X> gsd-vc)jmd%ln` {mq]qehYulVoe>=5Z0.eqb+ta'dof#jlb.uos[wgjW{nTic?>3:W3+bta&{l$~lcPre]fj45<]9%l~k }f.pbiZquWld:h6[?/fpe*w`(zz~i`f!]SU]EQIJXOLD946[?/fpe*w`(zz~i`f!hrrvg5+au{}Umyab!rrv\`44?3\:$kh!rg-qwqdkc&myyj>.fpppZ`rde$yyQk23:8Q5)`zo$yj"||tcnh+btt|m;%k}{_gwoh+tt|Vn8>n5Z0.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`4949o6[?/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`a;978h7X> gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qab:56;h0Y=!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfcZ65j2_;#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\57d<]9%l~k }f.pppgjl'gm|~i||t/eqwq(ulVxxxR|jg^01g>S7'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hi30?0`?P6(o{l%~k!}su`oo*h`{nyy hrrv-swYu{}Uyij2>>3`8Q5)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR>=b:W3+bta&{l$~~zmlj-mcrtczz~%k}{.vp\vvrXzlmT=<j4U1-dvc(un&xxxobd/sf\vvrXizxnk?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj>219V4*aun'xm#}{bmi,vaYu{}Ujkh_e02g>S7'nxm"h rrvahn)ulVxxxRm`mc3g?P6(o{l%~k!}su`oo*tcW{ySnabb03g?P6(o{l%~k!}su`oo*quW{ySl}}ef03?P6(o{l%~k!}su`oo*quW{ySl}}ef]g576<]9%l~k }f.pppgjl'~xT~~zParpfcZb5:=1^<"i}f/pe+wusjea${Q}su]bwwc`W{y|bz>c:W3+bta&{l$~~zmlj-tvZtt|Vidao?k;T2,cw`)zo%yylck.uq[wusWjefn<o4URGQ[DJNIZi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB>2]NM1>16:UFE979>2]NM1<18:UFE95=87<0[HO33?48S@D;87<0[HL31?48S@D;:720[HL33;2=2>QBJ595n6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\F4b<P@FBBU#WDC"3*4&T\\H+<#?/ARAJM0=_G[IR:6V\TMKA3>^T\VMEH:5WSU]UGFd<PVID^HQFNGM5?]beW@nm7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo6:?ZYXPEDFSRQ>0^]\Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT:4Q`_lw{[7YH]]6?2<=m;^]\\IHJWVU:=RQPU1-dvc(un&mg<#y}/vrmpw)`aj:%kn?!cnwmpZ5Xlye8i5P_^ZOJHYXW88TSR[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_=[Xzln~ohQwos]45Z~h494:8h5P_^ZOJHYXW89TSR[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_=[Xzln~ohQwos]2=4Yg5:5=9m4_^][HKKXWV;?SRQZ0.eqb+ta'nf;"j rqlwv*Kj}qUlo= lotlw_=[XOGNT:4Q`_lw{[7YH]]9:?o5P_^ZOJHYXW8?TSR[?/fpe*w`(oe:%{!xpovq+bod8'mh=#m`uov\5Zbwg}8m7RQPXMLN[ZY6>VUTY=!hrg,qb*kbe&mia#zbp^pbiZtcWld:8n5P_^ZOJHYXW8=TSR[?/fpe*w`(ojr%oaew/LzlvZTCWYD_^V>R_SF\TKRUS8WTTB\P53]l[}i;87;?o6QP_YNMIZYX91UTSX> gsd-vc)`kq$h`fv Mymq[WBXXG^YW;SPRE]SJQT\?TUSC_Q;6^m\|j:768>i7RQPXMLN[ZY61VUTY=!hrg,qb*adp'iggu!Bxnp\SWYWF]XP<PQXR^RMPW]6UVRD^R6Po^zl8586<k1TSRVCNL]\[76XWV_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR<VSZ\PPOVQ_2[XPFXT>RaPxn>3:42d3VUTTA@B_^]15ZYX]9%l~k }f.e`|+ekcq%Ftb|PRE]SJQT\:TUYHR^ATSY0YZ^HZV?;SbQwo=2=51e<WVUS@CCP_^01[ZYR8&myj#|i/fa{*fjlp&GscQ]D^RMPW]3UVXOS]@[RZ7^[]IUW=2TcRv`<1<20g=XWVRGB@QP_31\[ZS7'nxm"h gbz-gim'Drd~RY]_QLWV^4ZW^XT\CZ][2_\\JTX>VeTtb2?>06a?ZYXPEDFSRQ=4^]\Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX0XYPZVZEX_U:]^ZLVZ2XgVrd0=0>c:coijusWlg{xtl4amolwqYa}ef=7oolktrg?ggdc|zTal|des18gimc3mkmRm`uov+4,b<lh~jSnaznu*2-a=ci}kTob{at)0*`>bf|hUhcx`{(2+g?agsiVidycz'4(f8`drfWje~by&:)e9geqgXkfex%8&d:fbpdYdg|d$:'k;ecweZeh}g~#4$h4d`vb[firf}636=08;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$84dhl+0,0<l`d#9$84dhl+2,0<l`d#;$84dhl+<,0<l`d#5$84dhl?4;1<l`d7==08;ekm8479?2nbb1?=>69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb1<?>69gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<:?aoi4:;1<394dhl?74813mce0>09;ekm81813mce0809;ekm83813mce0:09;ekm8=813mce0408;emvp-6.?2ndyy&>)99gkpr/99#37iazt)32-==cg|~#=?'7;emvp-74!11ocxz'15+;?air|!;>%55kotv+53/?3me~x%?8)99gkpr/91#37iazt)3:-2=cg|~#>$64dnww,76.02ndyy&=1(:8`jss ;8"46j`uu*17,><lf$?:&8:flqq.5= 20hb{{(34*<>bh}}"9;$64dnww,7>.02ndyy&=9(58`jss :#37iazt)13-==cg|~#?<'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf0<:18:flqq:6=720hb{{<04=<>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?c8`jss4:;1<364dnww8679?2ndyy2<>69gkpr;<7=0hb{{<4<4?air|5<5;6j`uu>4:2=cg|~74394dnww8<8?3lnbj?`hd49fiur~j2lbjbQ<2y7267?<n`ldS><w500/bl`hWnoeio{os]u2Z4+FFDN CAAE2f`?coagV99t8?=,gkekZabflxjxb|Pv7]1(~eX`hyTeczPcmi>4)eX`hyT}{=1.`[wbXn{oz1<"l_dlbficXzz~Tt`l=0.`[mgtW{nThlzn_bmvjq;7$jUoecQxievk964+kVbjRy}_ecweZeh}g~6<!mPftno[cjfozUyyQyam?2(fYneyfnah`{aukljZr~xl79 nQzsd]figccllnT~hi20-a\swYazl{6=!mPurg\`jssW{y1<"l_tlgaw`kg~Ugcz3?,b]kevYh~lxm`by20-a\twckghnT`lzjnb{>5)eXlfSzgkti?06)eXezmdbRxnl<3/gZtcWmo{xe3:13.`[`tug{Ubbgklthmm[qwm4:'oRfns^wm``tadf}6<!mPh`q\eikh{}Umyab20-a\lduXzz~6=!mPd`vb[firf}U|eizg=8.`[mgtW~xTmne20-a\qvcXmji6<!mPcnonkkYddfi`1="l_vp\efmXdf}6<!mPre]sjqtX`nd07#c^wpaZ`pn}UomyoPcnwmp86+kVbjRocmnqw[`kw|p7; nQ}d^fbpdYdg|dSzgkti?:(fYh~lxm`byPlnu>4)eX{U{by|Pwhfwl8?+kVzycjQjmqvz[qwm4:'oRy}_ecweZeh}g~T{dj{h<;/gZvumeejhR|jgr?3(fYcg|~T~~zPv`n>4)eX`hyThlzn_bmvjq;7$jU{~biPftno[qnumzbTbhintd]uei;281&hSz|Pddrwl836:%iT|ah_dosp|Ys`{oxdR`jg`vf[sgk5:&hS}|`g^gntqX|axneQnsrgqpZpfd48'oRfns^tbhlb:9%iT|ah_gwohZrozlycSl}|esv\rdj:=93'obcoogmpZhfel7mekaP33z657*dWkgeiQcov?3(fYwz`gTjdh`_u{sa836:q9= nQrne\bpjkW}s{i0:#c^ofijt~W}s{i0?#}378bl`hW:8s9<<Pfhdl[bcim{kcQy6^0\|vrX9;l0jdh`_fgmawgsg{U}:R<# Ykomk~'KFXN,Jkaescwkw&6:'8?7k{cle9jjocd|mhbxh|}d:kmn`es{kci|8;hlw[fjl02dloo{fle:8kscunee|m6~}of]fiur~9=1{~biPelrw}Zrozlyc$='>4:rqkbYbey~rSyf}erj+5,733yxdkRkbpu{\pmtb{a"9%<:4psmd[`kw|pUdk|h)1*51=wzfmTi`~{y^vkv`uo =#:86~}of]fiur~W}byi~f'5(37?uthoVof|ywPtipfwm.1!8>0|ah_dosp|Ys`{oxd%9&159svjaXmdzuRzgrdqk,=/6>2zycjQjmqvz[qnumzb747>11c9svjaXmdzuRzgrdqk[dutm{~#<$?m;qplcZcjx}sTxe|jsi]bwvcu|!;"=o5rne\ahvsqV~c~h}g_`qpawr/: ;i7}|`g^gntqX|axneQnsrgqp-5.9k1{~biPelrw}ZrozlycSl}|esv+0,7e3yxdkRkbpu{\pmtb{aUj~k}t)7*5g=wzfmTi`~{y^vkv`uoWhyxiz'6(3a?uthoVof|ywPtipfwmYf{zoyx%9&1c9svjaXmdzuRzgrdqk[dutm{~#4$?k;qplcZcjx}sTxe|jsi]bwvcu|521<3?m;qplcZcjx}sTxe|jsi]mabgsm!:"=o5rne\ahvsqV~c~h}g_ogdeqc/9 ;i7}|`g^gntqX|axneQaefcwa-4.9k1{~biPelrw}ZrozlycSckhaug+7,7e3yxdkRkbpu{\pmtb{aUeijo{e)6*5g=wzfmTi`~{y^vkv`uoWgolmyk'5(3a?uthoVof|ywPtipfwmYimnki%8&1c9svjaXmdzuRzgrdqk[kc`i}o#;$?m;qplcZcjx}sTxe|jsi]mabgsm!2"=i5rne\ahvsqV~c~h}g_ogdeqc;03:556~}of]eqij6;2zycjQiumn\pmtb{a";%<=4psmd[cskdV~c~h}g(0+27>vugnUmyabPtipfwm.5!890|ah_gwohZrozlyc$>'>3:rqkbYa}efTxe|jsi*7-45<x{elSk{cl^vkv`uo <#:?6~}of]eqijX|axne&9)018twi`Wog`Rzgrdqk,2/6;2zycjQiumn\pmtb{a"3%<;4psmd[cskdV~c~h}g<983:4g<x{elSk{cl^vkv`uoWhyxiz'0(3b?uthoVl~`aQ{hsgplZgt{lx$<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5rne\bpjkW}byi~fParqfvq.4!8k0|ah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkxh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0 ;j7}|`g^dvhiYs`{oxdRo|sdpw8586i2zycjQiumn\pmtb{aUj~k}t=3=5d=wzfmTjxbc_ujqavnXizyn~y2=>0c8twi`Wog`Rzgrdqk[dutm{~7?3?n;qplcZ`rdeUdk|h^cpw`ts4=4:m6~}of]eqijX|axneQnsrgqp9399h1{~biPftno[qnumzbTm~}jru>5:4g<x{elSk{cl^vkv`uoWhyxiz37?3`?uthoVl~`aQ{hsgplZgt{lx054?>0c8twi`Wog`Rzgrdqk[dutm{~743?n;qplcZ`rdeUdk|h^lfcdrb 9#:m6~}of]eqijX|axneQaefcwa-7.9h1{~biPftno[qnumzbTbhintd*1-4g<x{elSk{cl^vkv`uoWgolmyk'3(3b?uthoVl~`aQ{hsgplZhboh~n$9'>a:rqkbYa}efTxe|jsi]mabgsm!?"=l5rne\bpjkW}byi~fPndebp`.1!8k0|ah_gwohZrozlycSckhaug+3,7f3yxdkRhzlm]wlwct`Vdnklzj(9+2e>vugnUmyabPtipfwmYimnki1>11`9svjaXn|fgSyf}erj\j`af|l6:2<o4psmd[cskdV~c~h}g_ogdeqc;:7;j7}|`g^dvhiYs`{oxdR`jg`vf8686i2zycjQiumn\pmtb{aUeijo{e=6=5d=wzfmTjxbc_ujqavnXflmjxh2:>0c8twi`Wog`Rzgrdqk[kc`i}o7:3?n;qplcZ`rdeUdk|h^lfcdrb4>4:o6~}of]eqijX|axneQaefcwa9>=87;j7}|`g^dvhiYs`{oxdR`jg`vf8=813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-46<zmUomyoPcnwmp-4.991yhRjnt`]`kphs :#:<6|k_ecweZeh}g~#8$??;sf\`drfWje~by&:)028vaYci}kTob{at)4*55=ulVnjxlQlotlw,2/682xoSio{a^alqkr/0 ;97jPd`vb[firf}636=0:;sf\ak0<zmUyy=4rrv4?vdn|lxy86}}su34?qkw'Vil#kgio^11|075&gmd56{addpehjq23kgei84ws]bgn0<{Uh`f??;vp\`drfWje~by&?)028swYci}kTob{at)3*55=pzVnjxlQlotlw,7/682}ySio{a^alqkr/; ;;7z|Pd`vb[firf}"?%<>4ws]geqgXkfex%;&119tvZbf|hUhcx`{(7+24>quWmkmRm`uov+3,773~xThlzn_bmvjq.?!880{Qkauc\gjsi|521<3;4ws]fj3=pzVxxxpNOpb2g?EF=<>1J7:51zQ55?2b?3<1=><kb6`96=6djrd?894>;o671?0<,=>969=l;|Q6b?2b?3<1=><kb6`96=6dj2Y::>4;f383>455lk=i6?6?cb9P1c<3n;0;6<==dc5a>7>7kl1o8h750;395~U193>n;785120gf2d=:1:hn6x[1d194?7=93;2nv]91;6f3?0=9:8on:l5292`f>"3:l0::95Y45196~s6>j0:7x?9d;28y!7c:38:7o:j9;291g<42<hpD9<l;[74>1}5n39;6<>5d;'5`?=<l30(9:>:5gg?j32>3:17d;;f;29?j36;3:17d;93;29?j2bm3:17b:j8;29?l3203:17d;=6;29 4b32<8m7c?k3;28?l35=3:1(<j;:40e?k7c;3;07d;=4;29 4b32<8m7c?k3;08?l35;3:1(<j;:40e?k7c;3907d;=2;29 4b32<8m7c?k3;68?l3593:1(<j;:40e?k7c;3?07d;=0;29 4b32<8m7c?k3;48?l36n3:1(<j;:40e?k7c;3=07d;>e;29 4b32<8m7c?k3;:8?l3413:1(<j;:461?k7c;3:07d;<8;29 4b32<>97c?k3;38?l34?3:1(<j;:461?k7c;3807d;<6;29 4b32<>97c?k3;18?l34=3:1(<j;:461?k7c;3>07d;<4;29 4b32<>97c?k3;78?l34;3:1(<j;:461?k7c;3<07d;<2;29 4b32<>97c?k3;58?l3493:1(<j;:461?k7c;3207d;:1;29?j2en3:1(<j;:5ff?k7c;3:07b:me;29 4b32=nn7c?k3;38?j2ek3:1(<j;:5ff?k7c;3807b:mb;29 4b32=nn7c?k3;18?j2ei3:1(<j;:5ff?k7c;3>07b:m9;29 4b32=nn7c?k3;78?j2e03:1(<j;:5ff?k7c;3<07b:m7;29 4b32=nn7c?k3;58?j2e>3:1(<j;:5ff?k7c;3207b:m5;29 4b32=nn7c?k3;;8?j2e<3:1(<j;:5ff?k7c;3k07b:m3;29 4b32=nn7c?k3;`8?j2e93:1(<j;:5ff?k7c;3i07b:m0;29 4b32=nn7c?k3;f8?j2fn3:1(<j;:5ff?k7c;3o07b:ne;29 4b32=nn7c?k3;d8?j2fl3:1(<j;:5ff?k7c;3;;76a;ab83>!7c<3>oi6`>d2825>=h<hh1<7*>d587``=i9m91=?54o5cb>5<#9m>18ik4n0f0>45<3f>j57>5$0f7>1bb3g;o?7?;;:m7e=<72-;o87:ke:l2`6<6=21d8n;50;&2`1<3ll1e=i=51798k1e3290/=i:54eg8j4b428=07b:l3;29 4b32=nn7c?k3;3;?>i3k;0;6)?k4;6ga>h6l:0:565`4b394?"6l=0?hh5a1e195d=<g=i;6=4+1e690ac<f8n86<l4;n6a`?6=,8n?69jj;o3g7?7d32e?n?4?:%3g0?2cm2d:h>4>d:9l0d1=83.:h94;dd9m5a5=9l10c9o9:18'5a2=<mo0b<j<:0d8?l20m3:1(<j;:5;g?k7c;3:07d:8d;29 4b32=3o7c?k3;38?l20j3:1(<j;:5;g?k7c;3807d:8a;29 4b32=3o7c?k3;18?l2013:1(<j;:5;g?k7c;3>07d:88;29 4b32=3o7c?k3;78?l20?3:1(<j;:5;g?k7c;3<07d:86;29 4b32=3o7c?k3;58?l20=3:1(<j;:5;g?k7c;3207d:84;29 4b32=3o7c?k3;;8?l20;3:1(<j;:5;g?k7c;3k07d:82;29 4b32=3o7c?k3;`8?l2083:1(<j;:5;g?k7c;3i07d:9f;29 4b32=3o7c?k3;f8?l21m3:1(<j;:5;g?k7c;3o07d:9d;29 4b32=3o7c?k3;d8?l21k3:1(<j;:5;g?k7c;3;;76g;6c83>!7c<3>2h6`>d2825>=n<?k1<7*>d587=a=i9m91=?54i54:>5<#9m>184j4n0f0>45<3`>=47>5$0f7>1?c3g;o?7?;;:k722<72-;o87:6d:l2`6<6=21b85:50;&2`1<31m1e=i=51798m1>4290/=i:548f8j4b428=07d:72;29 4b32=3o7c?k3;3;?>o3080;6)?k4;6:`>h6l:0:565f49294?"6l=0?5i5a1e195d=<a==m6=4+1e690<b<f8n86<l4;h64g?6=,8n?697k;o3g7?7d32c?;<4?:%3g0?2>l2d:h>4>d:9j030=83.:h94;9e9m5a5=9l10e98::18'5a2=<0n0b<j<:0d8?l33<3:17o:=d;295?6=8rB?>n5+1d;907b<g8n:6=44}c33`?6=93:1<vF;2b9'5`?=99n0c<>l:188yg31290jn7?;5;3:f~N3:j1Q9:4>6z0`>7d=:m08=7<j:3d975<683n1??4<3;f96g<5k38o6?k52g804?5628:1??4<3;'5`?=<li0(8o54dc8 36=<lh0(<ml:0f3?l37?3:1(<j;:42;?k7c;3:07d;?6;29 4b32<:37c?k3;38?l37=3:1(<j;:42;?k7c;3807d;?4;29 4b32<:37c?k3;18?l37;3:1(<j;:42;?k7c;3>07d;?2;29 4b32<:37c?k3;78?l3793:1(<j;:42;?k7c;3<07d;?0;29 4b32<:37c?k3;58?l2an3:1(<j;:42;?k7c;3207d:i0;29?l3283:17d;:3;29?j32>3:17d:id;29 4b32=ln7c?k3;28?l2ak3:1(<j;:5df?k7c;3;07d:ib;29 4b32=ln7c?k3;08?l2ai3:1(<j;:5df?k7c;3907d:i9;29 4b32=ln7c?k3;68?l2a03:1(<j;:5df?k7c;3?07d:i7;29 4b32=ln7c?k3;48?l2a>3:1(<j;:5df?k7c;3=07d:i5;29 4b32=ln7c?k3;:8?l33n3:17b:i3;29?l3693:1(<j;:431?k7c;3:07d;>0;29 4b32<;97c?k3;38?l37n3:1(<j;:431?k7c;3807d;?e;29 4b32<;97c?k3;18?l37l3:1(<j;:431?k7c;3>07d;?c;29 4b32<;97c?k3;78?l37j3:1(<j;:431?k7c;3<07d;?a;29 4b32<;97c?k3;58?l3713:1(<j;:431?k7c;3207b::6;29?j21:3:1(<j;:540?k7c;3:07b:91;29 4b32=<87c?k3;38?j2183:1(<j;:540?k7c;3807b::f;29 4b32=<87c?k3;18?j22m3:1(<j;:540?k7c;3>07b::d;29 4b32=<87c?k3;78?j22k3:1(<j;:540?k7c;3<07b::b;29 4b32=<87c?k3;58?j22i3:1(<j;:540?k7c;3207b;>3;29?l31;3:17d;>c;29 4b32<;o7c?k3;28?l36j3:1(<j;:43g?k7c;3;07d;>a;29 4b32<;o7c?k3;08?l3613:1(<j;:43g?k7c;3907d;>8;29 4b32<;o7c?k3;68?l36?3:1(<j;:43g?k7c;3?07d;>6;29 4b32<;o7c?k3;48?l36=3:1(<j;:43g?k7c;3=07d;>4;29 4b32<;o7c?k3;:8?j2bm3:17b:j8;29?l3203:17d::8;29?l35>3:1(<j;:40e?k7c;3:07d;=5;29 4b32<8m7c?k3;38?l35<3:1(<j;:40e?k7c;3807d;=3;29 4b32<8m7c?k3;18?l35:3:1(<j;:40e?k7c;3>07d;=1;29 4b32<8m7c?k3;78?l3583:1(<j;:40e?k7c;3<07d;>f;29 4b32<8m7c?k3;58?l36m3:1(<j;:40e?k7c;3207d;<9;29 4b32<>97c?k3;28?l3403:1(<j;:461?k7c;3;07d;<7;29 4b32<>97c?k3;08?l34>3:1(<j;:461?k7c;3907d;<5;29 4b32<>97c?k3;68?l34<3:1(<j;:461?k7c;3?07d;<3;29 4b32<>97c?k3;48?l34:3:1(<j;:461?k7c;3=07d;<1;29 4b32<>97c?k3;:8?j22=3:17d:i1;29?l2213:17d;94;29?j32:3:17b;:7;29?l3293:17b:mf;29 4b32=nn7c?k3;28?j2em3:1(<j;:5ff?k7c;3;07b:mc;29 4b32=nn7c?k3;08?j2ej3:1(<j;:5ff?k7c;3907b:ma;29 4b32=nn7c?k3;68?j2e13:1(<j;:5ff?k7c;3?07b:m8;29 4b32=nn7c?k3;48?j2e?3:1(<j;:5ff?k7c;3=07b:m6;29 4b32=nn7c?k3;:8?j2e=3:1(<j;:5ff?k7c;3307b:m4;29 4b32=nn7c?k3;c8?j2e;3:1(<j;:5ff?k7c;3h07b:m1;29 4b32=nn7c?k3;a8?j2e83:1(<j;:5ff?k7c;3n07b:nf;29 4b32=nn7c?k3;g8?j2fm3:1(<j;:5ff?k7c;3l07b:nd;29 4b32=nn7c?k3;33?>i3ij0;6)?k4;6ga>h6l:0:=65`4``94?"6l=0?hh5a1e1957=<g=kj6=4+1e690ac<f8n86<=4;n6b=?6=,8n?69jj;o3g7?7332e?m54?:%3g0?2cm2d:h>4>5:9l0f3=83.:h94;dd9m5a5=9?10c9m;:18'5a2=<mo0b<j<:058?j2d;3:1(<j;:5ff?k7c;3;376a;c383>!7c<3>oi6`>d282=>=h<j;1<7*>d587``=i9m91=l54o5a3>5<#9m>18ik4n0f0>4d<3f>ih7>5$0f7>1bb3g;o?7?l;:m7f7<72-;o87:ke:l2`6<6l21d8l950;&2`1<3ll1e=i=51d98k1g1290/=i:54eg8j4b428l07d:8e;29 4b32=3o7c?k3;28?l20l3:1(<j;:5;g?k7c;3;07d:8b;29 4b32=3o7c?k3;08?l20i3:1(<j;:5;g?k7c;3907d:89;29 4b32=3o7c?k3;68?l2003:1(<j;:5;g?k7c;3?07d:87;29 4b32=3o7c?k3;48?l20>3:1(<j;:5;g?k7c;3=07d:85;29 4b32=3o7c?k3;:8?l20<3:1(<j;:5;g?k7c;3307d:83;29 4b32=3o7c?k3;c8?l20:3:1(<j;:5;g?k7c;3h07d:80;29 4b32=3o7c?k3;a8?l21n3:1(<j;:5;g?k7c;3n07d:9e;29 4b32=3o7c?k3;g8?l21l3:1(<j;:5;g?k7c;3l07d:9c;29 4b32=3o7c?k3;33?>o3>k0;6)?k4;6:`>h6l:0:=65f47c94?"6l=0?5i5a1e1957=<a=<26=4+1e690<b<f8n86<=4;h65<?6=,8n?697k;o3g7?7332c?::4?:%3g0?2>l2d:h>4>5:9j0=2=83.:h94;9e9m5a5=9?10e96<:18'5a2=<0n0b<j<:058?l2?:3:1(<j;:5;g?k7c;3;376g;8083>!7c<3>2h6`>d282=>=n<1:1<7*>d587=a=i9m91=l54i55e>5<#9m>184j4n0f0>4d<3`><o7>5$0f7>1?c3g;o?7?l;:k734<72-;o87:6d:l2`6<6l21b8;850;&2`1<31m1e=i=51d98m102290/=i:548f8j4b428l07d::7;29?j33l3:1(<j;:46f?k7c;3:07b;;c;29 4b32<>n7c?k3;38?j33j3:1(<j;:46f?k7c;3807b;;a;29 4b32<>n7c?k3;18?j3313:1(<j;:46f?k7c;3>07b;;8;29 4b32<>n7c?k3;78?j33?3:1(<j;:46f?k7c;3<07b;;6;29 4b32<>n7c?k3;58?j33=3:1(<j;:46f?k7c;3207b;91;29 4b32<<97c?k3;28?j3183:1(<j;:441?k7c;3;07b;:f;29 4b32<<97c?k3;08?j32m3:1(<j;:441?k7c;3907b;:d;29 4b32<<97c?k3;68?j32k3:1(<j;:441?k7c;3?07b;:b;29 4b32<<97c?k3;48?j32i3:1(<j;:441?k7c;3=07b;:9;29 4b32<<97c?k3;:8?j2a<3:17d:jf;29?j21<3:17d;;4;29?j32=3:17o:<f;295?6=8r.:i44>0e9K06c<@=8h7b??c;29?xd3<90;6<4?:1y'5`?=<;n0D9=j;I61g>i6l80;66sm2d594?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg4b>3:187>50z&2a<<68h1C8>k4H50`?!76l3?0e8650;9j27<722c:h;4?::m2`2<722wi>h750;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb2`3>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd4io0;694?:1y'5`?=99k0D9=j;I61g>"69m0>7d;7:188m34=831b=i850;9l5a1=831vn9?=:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c616?6=;3:1<v*>e88242=O<:o0D9<l;I76?!71i3;n46*>1e82?l3?2900e;<50;9l5a1=831vn9<8:180>5<7s-;n57??7:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n>;0;66a>d683>>{e<8?1<7:50;2x 4c>28:j7E:<e:J76f=O=<1/=;o51d:8 47c2<1b954?::k56?6=3`;o:7>5;n3g3?6=3th?><4?:283>5}#9l31==94H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l052900c<j8:188yg25>3:1?7>50z&2a<<68>1C8>k4H50`?M323-;=m7?j8:&25a<63`?36=44i7094?=h9m=1<75rb537>5<3290;w)?j9;33e>N3;l1C8?m4$04b>4c?3A?>7)?>d;78m0>=831b:?4?::k2`3<722e:h:4?::a04d=83>1<7>t$0g:>46f3A>8i6F;2b9'54b==2c>47>5;h41>5<<a8n=6=44o0f4>5<<uk>:m7>54;294~"6m00:<l5G42g8L14d3-;:h7;4i4:94?=n>;0;66g>d783>>i6l>0;66sm40;94?2=83:p(<k6:02b?M24m2B?>n5+10f91>o203:17d8=:188m4b12900c<j8:188yg2583:197>50z&2a<<68k1C8>k4H50`?M323-;=m7?j8:k6<?6=3`?26=44i7094?=n9m<1<75`1e594?=zj=;m6=4;:183!7b13;;m6F;3d9K07e<,8;o685f5983>>o1:3:17d?k6;29?j7c?3:17pl;1d83>1<729q/=h7511c8L15b3A>9o6*>1e86?l3?2900e;<50;9j5a0=831d=i950;9~f174290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;%32`?3<a<21<75f6383>>o6l?0;66a>d683>>{e;1n1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd40j0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg5?j3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f6>f290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a7=c=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`0e5<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c1:b?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb2;f>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm38f94?2=83:p(<k6:04a?M24m2B?>n5f5c83>>o1=3:17d?j0;29?j7dn3:17pl<a083>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo<l3;290?6=8r.:i44>6c9K06c<@=8h7d;m:188m33=831b=h>50;9l5f`=831vn?m=:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi>n?50;694?6|,8o26<8m;I60a>N3:j1b9o4?::k51?6=3`;n<7>5;n3`b?6=3th9o=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk8h87>54;294~"6m00::o5G42g8L14d3`?i6=44i7794?=n9l:1<75`1bd94?=zj;n=6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e:m?1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd5l=0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg4c;3:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f7b0290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a775=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm33094?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi???50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;;:1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74`=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30g94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<j50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;8i1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a74d=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm30394?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?<>50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9l1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75c=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31f94?3=83:p(<k6:02:?M24m2B?>n5+10f95>o203:17d;6:188m0c=831b:?4?::m2`2<722wi?=m50;794?6|,8o26<>6;I60a>N3:j1/=<j51:k6<?6=3`?26=44i4g94?=n>;0;66a>d683>>{e;9h1<7;50;2x 4c>28:27E:<e:J76f=#98n1=6g:8;29?l3>2900e8k50;9j27<722e:h:4?::a75g=83?1<7>t$0g:>46>3A>8i6F;2b9'54b=92c>47>5;h7:>5<<a<o1<75f6383>>i6l>0;66sm31;94?3=83:p(<k6:02a?M24m2B?>n5+10f91>o203:17d;6:188m34=831b=i850;9l5a1=831vn>:<:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?9650;194?6|,8o26<8:;I60a>N3:j1/=<j5279'5a3=;?<0e<>i:188m4772900c<mi:188yg53l3:1?7>50z&2a<<6><1C8>k4H50`?!76l330(<j::245?l77n3:17d?>0;29?j7dn3:17pl<4383>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=;7;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39=96g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;=i1<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d48020=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb262>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm35494?3=83:p(<k6:044?M24m2B?>n5+10f962=#9m?1?;:4i02e>5<<a8;;6=44i032>5<<a8;96=44o0ae>5<<uk9?n7>55;294~"6m00:::5G42g8L14d3-;:h7:4$0f6>6033`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`005<72=0;6=u+1d;953d<@=9n7E:=c:k6f?6=3`<>6=44i0g3>5<<g8im6=44}c171?6==3:1<v*>e88222=O<:o0D9<l;%32`?403-;o97=93:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?9o50;794?6|,8o26<88;I60a>N3:j1/=<j54:&2`0<4>:1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f65a290?6=4?{%3f=?71j2B??h5G43a8m0d=831b:84?::k2a5<722e:ok4?::a712=83?1<7>t$0g:>4003A>8i6F;2b9'54b=:>1/=i;53708m46a2900e<??:188m4762900e<?=:188k4ea2900qo=;9;291?6=8r.:i44>669K06c<@=8h7)?>d;68 4b22:<97d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd4=;0;694?:1y'5`?=9?h0D9=j;I61g>o2j3:17d8::188m4c72900c<mi:188yg52?3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7)?k5;155>o68o0;66g>1183>>i6ko0;66sm34a94?5=83:p(<k6:046?M24m2B?>n5+10f9=>"6l<08:<5f11d94?=n98:1<75`1bd94?=zj:?:6=4;:183!7b13;=n6F;3d9K07e<a<h1<75f6483>>o6m90;66a>cg83>>{e;<<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>:5+1e79736<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c16f?6==3:1<v*>e88222=O<:o0D9<l;%32`?2<,8n>6>8?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th89=4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk9>97>55;294~"6m00:::5G42g8L14d3-;:h7<8;%3g1?52n2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a70g=83?1<7>t$0g:>4003A>8i6F;2b9'54b=<2.:h84<5g9j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>:i:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?8:50;794?6|,8o26<88;I60a>N3:j1/=<j5269'5a3=;<o0e<>i:188m4772900e<?>:188m4752900c<mi:188yg5213:197>50z&2a<<6>>1C8>k4H50`?!76l3>0(<j::27f?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<4d83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=:3;291?6=8r.:i44>669K06c<@=8h7)?>d;04?!7c=39>h6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e;<21<7;50;2x 4c>28<<7E:<e:J76f=#98n186*>d4801a=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb21f>5<4290;w)?j9;60`>N3;l1C8?m4i4`94?=n9?81<75`1bd94?=zj:9o6=4<:183!7b13>8h6F;3d9K07e<a<h1<75f17094?=h9jl1<75rb20`>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm32a94?5=83:p(<k6:51g?M24m2B?>n5f5c83>>o6>;0;66a>cg83>>{e;;h1<7:50;2x 4c>28<i7E:<e:J76f=n=k0;66g95;29?l7b83:17b?lf;29?xd4;k0;6>4?:1y'5`?=<:n0D9=j;I61g>o2j3:17d?92;29?j7dn3:17pl<2`83>1<729q/=h7517`8L15b3A>9o6g:b;29?l022900e<k?:188k4ea2900qo=<a;297?6=8r.:i44;3e9K06c<@=8h7d;m:188m4052900c<mi:188yg5513:187>50z&2a<<6>k1C8>k4H50`?l3e2900e;;50;9j5`6=831d=nh50;9~f65>29086=4?{%3f=?24l2B??h5G43a8m0d=831b=;<50;9l5f`=831vn><7:187>5<7s-;n57?9b:J77`=O<;i0e8l50;9j20<722c:i=4?::m2gc<722wi?>650;194?6|,8o269=k;I60a>N3:j1b9o4?::k227<722e:ok4?::a771=83>1<7>t$0g:>40e3A>8i6F;2b9j1g<722c=97>5;h3f4?6=3f;hj7>5;|`072<72:0;6=u+1d;906b<@=9n7E:=c:k6f?6=3`;=>7>5;n3`b?6=3th8>;4?:583>5}#9l31=;l4H51f?M25k2c>n7>5;h46>5<<a8o;6=44o0ae>5<<uk98:7>53;294~"6m00??i5G42g8L14d3`?i6=44i041>5<<g8im6=44}c111?6=<3:1<v*>e8822g=O<:o0D9<l;h7a>5<<a??1<75f1d294?=h9jl1<75rb207>5<3290;w)?j9;35f>N3;l1C8?m4i4`94?=n><0;66g>e183>>i6ko0;66sm36;94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f61?290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk9<;7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl<7783>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a723=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj:=?6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo=83;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`037<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e;>;1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn9>l:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:i6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f16f290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb52:>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>7:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj=:<6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f161290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb526>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn9>;:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:lj6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`>290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d;>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h8:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l=6=4::183!7b13;;56F;3d9K07e<,8;o6<5f5983>>o213:17d;j:188m34=831d=i950;9~f6`2290>6=4?{%3f=?7712B??h5G43a8 47c281b954?::k6=?6=3`?n6=44i7094?=h9m=1<75rb2d7>5<2290;w)?j9;33=>N3;l1C8?m4$03g>4=n=10;66g:9;29?l3b2900e;<50;9l5a1=831vn>h<:186>5<7s-;n57??9:J77`=O<;i0(<?k:09j1=<722c>57>5;h7f>5<<a?81<75`1e594?=zj:l96=4::183!7b13;;n6F;3d9K07e<,8;o685f5983>>o213:17d8=:188m4b12900c<j8:188yg5b03:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f3?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j6;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n97>55;294~"6m00:<45G42g8L14d3-;:h7?4i4:94?=n=00;66g:e;29?l052900c<j8:188yg5b<3:197>50z&2a<<6801C8>k4H50`?!76l3;0e8650;9j1<<722c>i7>5;h41>5<<g8n<6=44}c1f7?6==3:1<v*>e8824<=O<:o0D9<l;%32`?7<a<21<75f5883>>o2m3:17d8=:188k4b02900qo=j2;291?6=8r.:i44>089K06c<@=8h7)?>d;38m0>=831b944?::k6a?6=3`<96=44o0f4>5<<uk9n=7>55;294~"6m00:<o5G42g8L14d3-;:h7;4i4:94?=n=00;66g92;29?l7c>3:17b?k7;29?xd4m90;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`3<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<d483>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8h94?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4l;0;684?:1y'5`?=9930D9=j;I61g>"69m0:7d;7:188m0?=831b9h4?::k56?6=3f;o;7>5;|`0`5<72<0;6=u+1d;955?<@=9n7E:=c:&25a<63`?36=44i4;94?=n=l0;66g92;29?j7c?3:17pl<cd83>0<729q/=h7511;8L15b3A>9o6*>1e82?l3?2900e8750;9j1`<722c=>7>5;n3g3?6=3th8on4?:483>5}#9l31==74H51f?M25k2.:=i4>;h7;>5<<a<31<75f5d83>>o1:3:17b?k7;29?xd4kh0;684?:1y'5`?=99h0D9=j;I61g>"69m0>7d;7:188m0?=831b:?4?::k2`3<722e:h:4?::a7f>=83?1<7>t$0g:>46e3A>8i6F;2b9'54b==2c>47>5;h7:>5<<a?81<75f1e494?=h9m=1<75rb357>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg40;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9;?4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm26394?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f717290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8=j7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=6d83>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a63b=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;<h6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<>b;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`15d<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:831<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn??7:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c023?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd59?0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi><;50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb337>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg46;3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9no4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm2cc94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7d>290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk8i47>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=b683>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6g0=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;h>6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<m4;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1f6<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:?81<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?8>:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c054?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5=o0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>8k50;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb37g>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg42k3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th99o4?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm24c94?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7??290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd51>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;3=6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9584?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?7;:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=9283>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb3;1>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1=4<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f7?7290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;o0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;9n6=4;:183!7b13;;46F;3d9K07e<@<?0(<8n:0g;?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9?i4?:583>5}#9l31==64H51f?M25k2B>96*>6`82a==#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?=l:187>5<7s-;n57??8:J77`=O<;i0D8;4$04b>4c?3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=3c83>1<729q/=h7511:8L15b3A>9o6F:5:&22d<6m11/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb31b>5<3290;w)?j9;33<>N3;l1C8?m4H478 40f28o37)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`17<<72=0;6=u+1d;955><@=9n7E:=c:J61>"6>h0:i55+10f95>o203:17d;j:188m34=831d=i950;9~f75?290?6=4?{%3f=?7702B??h5G43a8L03<,8<j6<k7;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5;>0;694?:1y'5`?=9920D9=j;I61g>N2=2.::l4>e99'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;8o6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<=c;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`16g<72=0;6=u+1d;955><@=9n7E:=c:&25a<63`?36=44i4g94?=n>;0;66a>d683>>{e:;k1<7:50;2x 4c>28:37E:<e:J76f=#98n1=6g:8;29?l3b2900e;<50;9l5a1=831vn?<6:187>5<7s-;n57??8:J77`=O<;i0(<?k:09j1=<722c>i7>5;h41>5<<g8n<6=44}c01<?6=<3:1<v*>e8824==O<:o0D9<l;%32`?7<a<21<75f5d83>>o1:3:17b?k7;29?xd5:>0;694?:1y'5`?=9920D9=j;I61g>"69m0:7d;7:188m0c=831b:?4?::m2`2<722wi>?850;694?6|,8o26<>7;I60a>N3:j1/=<j51:k6<?6=3`?n6=44i7094?=h9m=1<75rb306>5<3290;w)?j9;33<>N3;l1C8?m4$03g>4=n=10;66g:e;29?l052900c<j8:188yg4?>3:187>50z&2a<<6811C8>k4H50`?!76l3;0e8650;9j1`<722c=>7>5;n3g3?6=3th9484?:583>5}#9l31==64H51f?M25k2.:=i4>;h7;>5<<a<o1<75f6383>>i6l>0;66sm29694?2=83:p(<k6:02;?M24m2B?>n5+10f95>o203:17d;j:188m34=831d=i950;9~f7>4290?6=4?{%3f=?7702B??h5G43a8 47c281b954?::k6a?6=3`<96=44o0f4>5<<uk83>7>54;294~"6m00:<55G42g8L14d3-;:h7?4i4:94?=n=l0;66g92;29?j7c?3:17pl=8083>1<729q/=h7511:8L15b3A>9o6*>1e82?l3?2900e8k50;9j27<722e:h:4?::a6=6=83>1<7>t$0g:>46?3A>8i6F;2b9'54b=92c>47>5;h7f>5<<a?81<75`1e594?=zj;=m6=4;:183!7b13;;46F;3d9K07e<,8;o6<5f5983>>o2m3:17d8=:188k4b02900qo<8e;290?6=8r.:i44>099K06c<@=8h7)?>d;38m0>=831b9h4?::k56?6=3f;o;7>5;|`1ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3`;;j7>5;h324?6=3f;hj7>5;|`1a=<72:0;6=u+1d;9533<@=9n7E:=c:&25a<>3-;o97:;c:k24c<722c:==4?::m2gc<722wi?o?50;194?6|,8o26<8:;I60a>N3:j1/=<j59:k24c<722c:==4?::m2gc<722wi8=h50;694?6|,8o26<89;I60a>N3:j1/=<j5589'5a3=<=i0e<>i:188m4772900e<?>:188k4ea2900qo<j4;291?6=8r.:i44>669K06c<@=8h7)?>d;331>o68o0;66g>1183>>o6980;66g>1383>>i6ko0;66sm39794?0=83:p(<k6:04;?M24m2B?>n5+10f954=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj=8?6=4<:183!7b13;=96F;3d9K07e<,8;o6<<4i02e>5<<a8;;6=44o0ae>5<<uk>9m7>53;294~"6m00::85G42g8L14d3-;:h7?=;h33b?6=3`;:<7>5;n3`b?6=3th85;4?:483>5}#9l31=;94H51f?M25k2.:=i4=7:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi?4:50;794?6|,8o26<88;I60a>N3:j1/=<j5269j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn>7=:186>5<7s-;n57?97:J77`=O<;i0(<?k:358m46a2900e<??:188m4762900e<?=:188k4ea2900qo=60;291?6=8r.:i44>669K06c<@=8h7)?>d;04?l77n3:17d?>0;29?l7693:17d?>2;29?j7dn3:17pl<9983>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm3`;94?3=83:p(<k6:044?M24m2B?>n5+10f960=n99l1<75f10294?=n98;1<75f10094?=h9jl1<75rb2c4>5<2290;w)?j9;353>N3;l1C8?m4$03g>73<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c1b1?6==3:1<v*>e88222=O<:o0D9<l;%32`?423`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;hj7>5;|`0e6<72<0;6=u+1d;9531<@=9n7E:=c:&25a<5=2c:<k4?::k255<722c:=<4?::k257<722e:ok4?::a7dd=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f7ed290>6=4?{%3f=?71?2B??h5G43a8 47c2;?0e<>i:188m4772900e<?>:188m4752900c<mi:188yg4di3:197>50z&2a<<6>>1C8>k4H50`?!76l38>7d??f;29?l7683:17d?>1;29?l76:3:17b?lf;29?xd5k10;684?:1y'5`?=9?=0D9=j;I61g>"69m0996g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:j<1<7;50;2x 4c>28<<7E:<e:J76f=#98n1>85f11d94?=n98:1<75f10394?=n9881<75`1bd94?=zj;in6=4<:183!7b13;=96F;3d9K07e<,8;o6?84i02e>5<<a8;;6=44o0ae>5<<uk8oj7>55;294~"6m00:::5G42g8L14d3-;:h7<;;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n3`b?6=3th9hi4?:483>5}#9l31=;94H51f?M25k2.:=i4=4:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>il50;794?6|,8o26<88;I60a>N3:j1/=<j5249j55`=831b=<>50;9j547=831b=<<50;9l5f`=831vn?j6:186>5<7s-;n57?97:J77`=O<;i0(<?k:368m46a2900e<??:188m4762900e<?=:188k4ea2900qo<j1;297?6=8r.:i44>649K06c<@=8h7)?>d;05?l77n3:17d?>0;29?j7dn3:17pl=fb83>1<729q/=h751748L15b3A>9o6*>1e816>o68o0;66g>1183>>o6980;66a>cg83>>{e;9<1<7950;2x 4c>28<27E:<e:J76f=#98n1?85f11d94?=n98:1<75f10394?=n9881<75f10194?=n98>1<75`1bd94?=zj::?6=49:183!7b13;=46F;3d9K07e<,8;o6>:4i02e>5<<a8;;6=44i032>5<<a8;96=44i030>5<<g8im6=44}c0f`?6=;3:1<v*>e88220=O<:o0D9<l;%32`?7>3-;o97:;a:k24c<722c:==4?::m2gc<722wi>hk50;694?6|,8o26<89;I60a>N3:j1/=<j51e9'5a3=<=k0e<>i:188m4772900e<?>:188k4ea2900qo=me;290?6=8r.:i44>679K06c<@=8h7)?>d;01?l77n3:17d?>0;29?l7693:17b?lf;29?xd4k<0;6:4?:1y'5`?=9?30D9=j;I61g>"69m0896g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>o69=0;66a>cg83>>{e;j91<7850;2x 4c>28<37E:<e:J76f=#98n1?95f11d94?=n98:1<75f10394?=n9881<75f10194?=h9jl1<75rb36b>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<a8:m6=44i033>5<<g8im6=44}c07g?6=<3:1<v*>e88223=O<:o0D9<l;%32`?4?3-;o97:;9:k24c<722c:==4?::k254<722e:ok4?::a6d>=8391<7>t$0g:>4023A>8i6F;2b9'54b=901b==h50;9j546=831d=nh50;9~f7gf290?6=4?{%3f=?71>2B??h5G43a8 47c2;20(<j::56;?l77n3:17d?>0;29?l7693:17b?lf;29?xd6n00;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d48715=n99l1<75f10294?=h9jl1<75rb0d;>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69;?;h33b?6=3`;:<7>5;n3`b?6=3th:j:4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3==1b==h50;9j546=831d=nh50;9~f4`129086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::577?l77n3:17d?>0;29?j7dn3:17pl>f483>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9>5f11d94?=n98:1<75`1bd94?=zj8l?6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1343`;;j7>5;h324?6=3f;hj7>5;|`2b6<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4g9j55`=831b=<>50;9l5f`=831vn<h=:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>m7d??f;29?l7683:17b?lf;29?xd6n80;6>4?:1y'5`?=9??0D9=j;I61g>"69m0:56*>d4870`=n99l1<75f10294?=h9jl1<75rb0d3>5<4290;w)?j9;351>N3;l1C8?m4$03g>4?<,8n>69:j;h33b?6=3`;:<7>5;n3`b?6=3th:ik4?:283>5}#9l31=;;4H51f?M25k2.:=i4>9:&2`0<3=;1b==h50;9j546=831d=nh50;9~f4cb29086=4?{%3f=?71=2B??h5G43a8 47c2830(<j::571?l77n3:17d?>0;29?j7dn3:17pl>ee83>6<729q/=h751778L15b3A>9o6*>1e82=>"6l<0?9<5f11d94?=n98:1<75`1bd94?=zj8oh6=4<:183!7b13;=96F;3d9K07e<,8;o6<74$0f6>1363`;;j7>5;h324?6=3f;hj7>5;|`2ag<72:0;6=u+1d;9533<@=9n7E:=c:&25a<612.:h84;4e9j55`=831b=<>50;9l5f`=831vn<kn:180>5<7s-;n57?95:J77`=O<;i0(<?k:0;8 4b22=>o7d??f;29?l7683:17b?lf;29?xd5<m0;684?:1y'5`?=9?=0D9=j;I61g>"69m0:n6g>0g83>>o6990;66g>1083>>o69;0;66a>cg83>>{e:=l1<7850;2x 4c>28<37E:<e:J76f=#98n1>45+1e7901?<a8:m6=44i033>5<<a8;:6=44i031>5<<a8;86=44o0ae>5<<uk8>=7>57;294~"6m00::45G42g8L14d3-;:h7?l;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th9mo4?:483>5}#9l31=;94H51f?M25k2.:=i4>b:k24c<722c:==4?::k254<722c:=?4?::m2gc<722wi>lj50;494?6|,8o26<87;I60a>N3:j1/=<j5289'5a3=<=20e<>i:188m4772900e<?>:188m4752900e<?<:188k4ea2900qo<nf;293?6=8r.:i44>689K06c<@=8h7)?>d;3`?l77n3:17d?>0;29?l7693:17d?>2;29?l76;3:17d?>4;29?j7dn3:17pl<0983>6<729q/=h751778L15b3A>9o6*>1e817>o68o0;66g>1183>>i6ko0;66sm31594?1=83:p(<k6:04:?M24m2B?>n5+10f970=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb2a4>5<4290;w)?j9;351>N3;l1C8?m4$03g>75<a8:m6=44i033>5<<g8im6=44}c1`2?6=?3:1<v*>e8822<=O<:o0D9<l;%32`?523`;;j7>5;h324?6=3`;:=7>5;h326?6=3`;:?7>5;h320?6=3f;hj7>5;|`11=<72>0;6=u+1d;953?<@=9n7E:=c:&25a<6k2c:<k4?::k255<722c:=<4?::k257<722c:=>4?::k251<722e:ok4?::a6d3=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=9j1b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f734290<6=4?{%3f=?7112B??h5G43a8 47c28i0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg42>3:187>50z&2a<<6>?1C8>k4H50`?!76l3;j7d??f;29?l7683:17d?>1;29?j7dn3:17pl=5483>2<729q/=h7517;8L15b3A>9o6*>1e81e>o68o0;66g>1183>>o6980;66g>1383>>o69:0;66g>1583>>i6ko0;66sm2c394?1=83:p(<k6:04:?M24m2B?>n5+10f95f=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9jl1<75rb3c0>5<3290;w)?j9;352>N3;l1C8?m4$03g>4g<a8:m6=44i033>5<<a8;:6=44o0ae>5<<uk8j>7>57;294~"6m00::45G42g8L14d3-;:h7<n;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th?>54?:583>5}#9l31=;84H51f?M25k2.:=i4>019j55`=831b=<>50;9j547=831d=nh50;9~f142290?6=4?{%3f=?77i2B??h5G43a8L03<,8<j6<k7;h7;>5<<a?81<75f1e494?=h9m=1<75rb2:0>5<3290;w)?j9;33e>N3;l1C8?m4$03g>0=n=10;66g92;29?l7c>3:17b?k7;29?xd40=0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0j7d??f;29?l7683:17d?>1;29?l76:3:17d?>3;29?j7dn3:17pl<2e83>6<729q/=h751778L15b3A>9o6*>1e812>o68o0;66g>1183>>i6ko0;66sm33g94?5=83:p(<k6:046?M24m2B?>n5+10f963=n99l1<75f10294?=h9jl1<75rb20e>5<4290;w)?j9;351>N3;l1C8?m4$03g>70<a8:m6=44i033>5<<g8im6=44}c104?6=;3:1<v*>e88220=O<:o0D9<l;%32`?413`;;j7>5;h324?6=3f;hj7>5;|`074<72:0;6=u+1d;9533<@=9n7E:=c:&25a<5>2c:<k4?::k255<722e:ok4?::a764=8391<7>t$0g:>4023A>8i6F;2b9'54b=:?1b==h50;9j546=831d=nh50;9~f65429086=4?{%3f=?71=2B??h5G43a8 47c2;<0e<>i:188m4772900c<mi:188yg54<3:1?7>50z&2a<<6><1C8>k4H50`?!76l38=7d??f;29?l7683:17b?lf;29?xd4;<0;6>4?:1y'5`?=9??0D9=j;I61g>"69m09:6g>0g83>>o6990;66a>cg83>>{e:on1<7;50;2x 4c>28<<7E:<e:J76f=#98n1=h5+1e79010<a8:m6=44i033>5<<a8;:6=44i031>5<<g8im6=44}c134?6=>3:1<v*>e8822==O<:o0D9<l;%32`?7a3-;o97:;6:k24c<722c:==4?::k254<722c:=?4?::k256<722e:ok4?::a754=83=1<7>t$0g:>40>3A>8i6F;2b9'54b=:91b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=nh50;9~f6e6290<6=4?{%3f=?7112B??h5G43a8 47c2;:0e<>i:188m4772900e<?>:188m4752900e<?<:188m4732900c<mi:188yg5e:3:1?7>50z&2a<<6><1C8>k4H50`?!76l330e<>i:188m4772900c<mi:188yg5c;3:187>50z&2a<<6>?1C8>k4H50`?!76l3;;<6g>0g83>>o6990;66g>1083>>i6ko0;66sm3b;94?5=83:p(<k6:046?M24m2B?>n5+10f95<=#9m?189l4i02e>5<<a8;;6=44o0ae>5<<uk9o=7>57;294~"6m00::45G42g8L14d3-;:h7<?;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n3`b?6=3th8oi4?:483>5}#9l31=;94H51f?M25k2.:=i4>e:&2`0<3<>1b==h50;9j546=831b=<?50;9j544=831d=nh50;9~f6ee290?6=4?{%3f=?71>2B??h5G43a8 47c28n0(<j::56a?l77n3:17d?>0;29?l7693:17b?lf;29?xd4ko0;6;4?:1y'5`?=9?20D9=j;I61g>"69m0:j6*>d48702=n99l1<75f10294?=n98;1<75f10094?=n9891<75`1bd94?=zj;oh6=4=:183!7b13;==6F;3d9K07e<a8:n6=44o0ae>5<<uk9i87>52;294~"6m00::<5G42g8L14d3`;;i7>5;n3`b?6=3th?<i4?:ca94?6|,8o26<j7;I60a>N3:j1Q9:4l{01950<b2>036n4>7;37>4>=n3;=6o4rn71961=i>=0986`k3;28jc3=82.:<>4>079'552=9l20(<k::39'543=;2.:=;4<;%323?5<,8;36>5+10;97>"69h087)?>b;18 47d2:1/=<k53:&25c<43-;9<7=4$002>6=#9;81?6*>2280?!75<390(<<::29'570=;2.:>:4<;%31<?5<,8826>5+13c97>"6:k087)?=c;18 44c2:1/=?k53:&26c<43-;8<7=4$012>6=#9:81?6*>3280?!74<390(<=::29'560=;2.:?:4<;%30<?5<,8926>5+12c97>"6;k087)?<c;18 45c2:1/=>k53:&27c<43-;?<7=4$062>6=#9=81?6*>4280?!73<390(<:::29'510=;2.:8:4<;%37<?5<,8>26>5+15c97>"6<k087)?;c;18 42c2:1/=9k53:&20c<43-;><7=4$072>6=#9<81?6*>5280?!72<390(<;::29'500=;2.:9:4<;%36<?5<,8?26>5+14c97>"6=k087)?:c;18 43c2:1/=;>51bg8 4bc28o<7)?ke;7:?!7cn3?27)?j1;77?!7b:3;n86*>5d81?!72n380(9=n:51:?!24j3>856*>e781?l7c13:17d;l:188m4652900e<jl:188m0b=831b=io50;9j5ad=831b==?50;9jef<72-;o87om;o3g7?6<3`kj6=4+1e69eg=i9m91=65fa883>!7c<3ki7c?k3;08?lg?290/=i:5ac9m5a5=;21b8>=50;&2`1<3;;1e=i=50:9j067=83.:h94;339m5a5=921b8>>50;&2`1<3;;1e=i=52:9j07`=83.:h94;339m5a5=;21doo4?:%3g0?ef3g;o?7>4;na:>5<#9m>1ol5a1e195>=hk>0;6)?k4;ab?k7c;3807bm9:18'5a2=kh1e=i=53:9lg0<72-;o87mn;o3g7?2<3fi?6=4+1e69gd=i9m91965`c283>!7c<3ij7c?k3;48?je5290/=i:5c`9m5a5=?21do<4?:%3g0?ef3g;o?764;na3>5<#9m>1ol5a1e19=>=hjo0;6)?k4;ab?k7c;3k07blj:18'5a2=kh1e=i=5b:9lff<72-;o87mn;o3g7?e<3fhi6=4+1e69gd=i9m91h65`b`83>!7c<3ij7c?k3;g8?jd>290/=i:5c`9m5a5=n21dn54?:%3g0?ef3g;o?7??;:ma3?6=,8n?6no4n0f0>47<3fh=6=4+1e69gd=i9m91=?54oc794?"6l=0hm6`>d2827>=hj=0;6)?k4;ab?k7c;3;?76am3;29 4b32jk0b<j<:078?jb5290/=i:5c`9m5a5=9?10ci?50;&2`1<di2d:h>4>7:9l`5<72-;o87mn;o3g7?7?32ehj7>5$0f7>fg<f8n86<74;naf>5<#9m>1ol5a1e195d=<gjn1<7*>d58`e>h6l:0:n65`cb83>!7c<3ij7c?k3;3`?>id03:1(<j;:bc8j4b428n07blk:18'5a2=kh1e=i=51d98kg4=83.:h94la:l2`6<6n21b?o4?:%3g0?5f3g;o?7>4;h1:>5<#9m>1?l5a1e195>=n;10;6)?k4;1b?k7c;3807d=8:18'5a2=;h1e=i=53:9j01<72-;o87=n;o3g7?2<3`>86=4+1e697d=i9m91965f4383>!7c<39j7c?k3;48?l26290/=i:53`9m5a5=?21b8=4?:%3g0?5f3g;o?764;h1e>5<#9m>1?l5a1e19=>=n;l0;6)?k4;1b?k7c;3k07d=k:18'5a2=;h1e=i=5b:9j7f<72-;o87=n;o3g7?e<3`9=6=4+1e697d=i9m91h65f4`83>!7c<3>27c?k3;28?l2?290/=i:5489m5a5=921b8:4?:%3g0?2>3g;o?7<4;h65>5<#9m>1845a1e197>=n=:0;6)?k4;6:?k7c;3>07d;=:18'5a2=<01e=i=55:9j14<72-;o87:6;o3g7?0<3`?;6=4+1e690<=i9m91;65f4g83>!7c<3>27c?k3;:8?l2b290/=i:5489m5a5=121b8i4?:%3g0?2>3g;o?7o4;h6`>5<#9m>1845a1e19f>=n<k0;6)?k4;6:?k7c;3i07d:::18'5a2=<01e=i=5d:9je5<72-;o877i;o3g7?6<3`3n6=4+1e69=c=i9m91=65f9b83>!7c<33m7c?k3;08?l?e290/=i:59g9m5a5=;21b5l4?:%3g0??a3g;o?7:4;h;:>5<#9m>15k5a1e191>=n110;6)?k4;;e?k7c;3<07d78:18'5a2=1o1e=i=57:9j=3<72-;o877i;o3g7?><3`3>6=4+1e69=c=i9m91565f9583>!7c<33m7c?k3;c8?l?4290/=i:59g9m5a5=j21b5<4?:%3g0??a3g;o?7m4;h;3>5<#9m>15k5a1e19`>=n0o0;6)?k4;;e?k7c;3o07d6j:18'5a2=1o1e=i=5f:9j<a<72-;o877i;o3g7?7732c3o7>5$0f7><`<f8n86<?4;h:a>5<#9m>15k5a1e1957=<a1k1<7*>d58:b>h6l:0:?65f8883>!7c<33m7c?k3;37?>o?03:1(<j;:8d8j4b428?07do8:18'5a2=1o1e=i=51798md0=83.:h946f:l2`6<6?21bm84?:%3g0??a3g;o?7?7;:kb0?6=,8n?64h4n0f0>4?<3`k86=4+1e69=c=i9m91=l54i`094?"6l=02j6`>d282f>=ni80;6)?k4;;e?k7c;3;h76g6d;29 4b320l0b<j<:0f8?l?5290/=i:59g9m5a5=9l10e5950;&2`1<>n2d:h>4>f:9lbd<72-;o87h6;o3g7?6<3fl36=4+1e69b<=i9m91=65`f683>!7c<3l27c?k3;08?j`1290/=i:5f89m5a5=;21bn<4?:%3g0?d73g;o?7>4;hce>5<#9m>1n=5a1e195>=nil0;6)?k4;`3?k7c;3807dok:18'5a2=j91e=i=53:9lbc<72-;o87hj;o3g7?6<3flo6=4+1e69b`=i9m91=65`fb83>!7c<3ln7c?k3;08?j`e290/=i:5fd9m5a5=;21dii4?:%3g0?cd3g;o?7>4;nga>5<#9m>1in5a1e195>=hm00;6)?k4;g`?k7c;3807bk7:18'5a2=mj1e=i=53:9la2<72-;o87kl;o3g7?2<3fo=6=4+1e69af=i9m91965`e483>!7c<3oh7c?k3;48?jc3290/=i:5eb9m5a5=?21di>4?:%3g0?cd3g;o?764;ng1>5<#9m>1in5a1e19=>=hm80;6)?k4;g`?k7c;3k07bk?:18'5a2=mj1e=i=5b:9l``<72-;o87kl;o3g7?e<3fno6=4+1e69af=i9m91h65`db83>!7c<3oh7c?k3;g8?jbe290/=i:5eb9m5a5=n21dhl4?:%3g0?cd3g;o?7??;:mg=?6=,8n?6hm4n0f0>47<3fn36=4+1e69af=i9m91=?54oe594?"6l=0no6`>d2827>=hl?0;6)?k4;g`?k7c;3;?76ak5;29 4b32li0b<j<:078?j`3290/=i:5eb9m5a5=9?10ck=50;&2`1<bk2d:h>4>7:9lb7<72-;o87kl;o3g7?7?32em=7>5$0f7>`e<f8n86<74;nd3>5<#9m>1in5a1e195d=<gll1<7*>d58fg>h6l:0:n65`ed83>!7c<3oh7c?k3;3`?>ibi3:1(<j;:da8j4b428n07bji:18'5a2=mj1e=i=51d98ka2=83.:h94jc:l2`6<6n21b8>650;&2`1<3;>1e=i=50:9j060=83.:h94;369m5a5=921b8>;50;&2`1<3;>1e=i=52:9j062=83.:h94;369m5a5=;21b;k4?:%3g0?1b3g;o?7>4;h5g>5<#9m>1;h5a1e195>=n?k0;6)?k4;5f?k7c;3807d9n:18'5a2=?l1e=i=53:9j3<<72-;o879j;o3g7?2<3`=36=4+1e693`=i9m91965f7683>!7c<3=n7c?k3;48?l11290/=i:57d9m5a5=?21b;84?:%3g0?1b3g;o?764;h57>5<#9m>1;h5a1e19=>=n?:0;6)?k4;5f?k7c;3k07d9=:18'5a2=?l1e=i=5b:9j35<72-;o879j;o3g7?e<3`<m6=4+1e693`=i9m91h65f6d83>!7c<3=n7c?k3;g8?l0c290/=i:57d9m5a5=n21b:n4?:%3g0?1b3g;o?7??;:k5f?6=,8n?6:k4n0f0>47<3`<j6=4+1e693`=i9m91=?54i7;94?"6l=0<i6`>d2827>=n>10;6)?k4;5f?k7c;3;?76g97;29 4b32>o0b<j<:078?l>1290/=i:57d9m5a5=9?10e5;50;&2`1<0m2d:h>4>7:9j<1<72-;o879j;o3g7?7?32c3?7>5$0f7>2c<f8n86<74;h:1>5<#9m>1;h5a1e195d=<a1;1<7*>d584a>h6l:0:n65f8183>!7c<3=n7c?k3;3`?>o0k3:1(<j;:6g8j4b428n07d9>:18'5a2=?l1e=i=51d98m30=83.:h948e:l2`6<6n21v97j:181[20m27?<i48f:p0<e=838pR99k;<63`?1c3ty?5l4?:3y]02d<5=:o6:l4}r6:=?6=:rT?;l5241f93d=z{=336=4={_64=>;38m0<56s|48594?4|V==370:?d;5;?xu31?0;6?uQ4658916c2>=0q~:65;296~X3??168=j5779~w1?32909wS:85:?74a<0=2wx84=50;0xZ11334>;h79;;|q7=7<72;qU8:=4=52g>25<uz>2=7>52z\737=:<9n1;?5rs5:e>5<5sW><<63;0e844>{t<1o1<7<t^54e?827l3<m7p};8e83>7}Y<?o019>k:7g8yv2?k3:1>vP;6e9>05b=>m1v96m:181[21k27?<i49c:p0=g=838pR98m;<63`?0e3ty?444?:3y]03g<5=:o6;o4}r6;<?6=:rT?:45241f92<=z{=2<6=4={_65<>;38m0=46s|49494?4|V=<<70:?d;44?xu3i<0;6?uQ4968916c21<0q~:n4;296~X30:168=j5849~w1g42909wS:72:?74a<?<2wx8l<50;0xZ1>634>;h76<;|q7e4<72;qU85>4=52g>=4<uz>j<7>52z\73c=:<9n14<5rs5;e>5<5sW><o63;0e8;4>{t<0h1<7<t^552?827l3=h7p};9183>7}Y<?<019>k:638yv2?=3:1>vP;649>05b=>?1v8=?:180[35>2789:4>0g9>70e=98:0q~;=e;297~X2:<16?8851038963e28;97p}:2e83>6}Y=;>01>;9:02e?852j3;:=6s|53a94?5|V<8870=:5;325>;4=h0:=?5rs40a>5<4sW?9>63<54824c=:;<k1=<?4}r71e?6=;rT>><523469547<5:?26<?=;|q66<<72:qU9?>4=277>46a349>57?>1:p17>=839pR8?i;<167?769278954>139~w0402908wS;>e:?016<68o16?8651038yv33;3:1?vP:389>71>=99l01>:k:033?xu2<80;6>uQ52:8962028;:70=;c;326>{t==:1<7=t^414?853?3;;j63<4b8254=z{<9m6=4<{_702>;4<?0:=<5235`9544<uz?8i7>53z\670=:;=<1==h4=26a>4763ty>?i4?:2y]162<5:>>6<?>;<17e?76:2wx9>m50;1xZ054349?97??f:?00d<6981v8=m:180[34:278894>109>71?=9880q~;<a;297~X2;816?9:511d8962>28;:7p}:4583>17|V<>?70<j7;7;?84b>3?370<j9;7;?825:3?370:=1;7;?826j3?370:>a;7;?82613?370:=0;7;?855;3?370==2;7;?85593?370==0;7;?856n3?370=>e;7;?856l3?370=>c;7;?856j3?370=>1;7;?85683?370=?f;7;?857m3?370=?d;7;?857k3?370=?b;7;?857i3?370=?9;7;?840<3?370<83;7;?840:3?370<81;7;?84083?370<9f;7;?841m3?370<9d;7;?841k3?370<mb;7;?84ei3?370<m9;7;?84e03?370<m7;7;?84e>3?370<m5;7;?84e<3?370<m3;7;?84>03?370<67;7;?84>>3?370<65;7;?84><3?370<63;7;?84>:3?370<61;7;?84>83?370<=d;7;?845k3?370<=b;7;?845i3?370<=9;7;?84503?370<=7;7;?845>3?370<=5;7;?827l3?o7p}:4g83>0}Y==l01?km:02e?84b03;;j63;0g824c=::l>1==h4}r765?6=?rT>9<5240091`=:<8?1=i84=537>4b134>9<7?k6:?756<6l?168?;51e48yv3203:19kuQ54:896d72<201>oi:4:891752<2019<8:4:891722<2019<9:4:891732<2019?i:4:8917b2<2019?<:4:8961>2<201>97:4:896102<201>99:4:896122<201>9;:4:896142<201>9=:4:896162<2019>l:4:8916e2<2019>n:4:8916>2<2019>7:4:891602<2019>9:4:891622<2019>;:4:896`f2<201>h6:4:896`?2<201>h8:4:896`12<201>h::4:896`32<201>h<:4:896`52<201>k7:4:896c02<201>k9:4:896c22<201>k;:4:896c42<201>k=:4:896c62<201>k?:4:896b12<201>j::4:896b32<201>j=:4:896b72<201>mj:4:896ed2<201>mn:4:896e?2<201??m:4:8977f2<201??6:4:8977?2<201??8:4:897712<201??::4:897732<201??<:4:897052<201?8>:4:897072<201?;i:4:8973b2<201?;k:4:8973d2<201?;m:4:8973f2<201?=i:4:8975b2<201?=k:4:8975d2<201?=m:4:8975f2<201?=6:4:8975?2<201?=8:4:897>12<201?6::4:897>32<201?6<:4:897>52<201?6>:4:897>72<201?9i:4:8971b2<2019<::4:896>42<2019>k:4a8yv31;3:1mvP:629>7g7=99l01>6::02e?85e:3;;j63<d2824c=:;j31==h4=2f2>477349hh7?>0:?0gg<69916?nh51028yv21<3:1;ouQ4708Z1063W>=<6P;5g9]00c<V=?o7S::c:\71g=Y<<k0R8:k;_77g>X2<k1U99o4^46:?[3302T>8:5Q5548Z0223W?==6P:619]10`<V<?n7S;:d:\61f=Y=<h0R8;n;_76=>X3=<1U8884^5d0?[2a<2T>985Q5458Z0353W>=863;3g824f=:<8h1:?5240c927=:<831:?52432927=:<8l1:?5240g927=:<891:?5239f920=:;1i1:85239`920=:;1k1:85239g920=:;h:1:85238d920=:;0o1:85238f920=:;h;1:8522b1920=::j81:8522b3920=::j:1:8522b6920=::m<1:8522e7920=::m>1:8522e1920=::m=1:85233691g=:;;>1=h>4=50;>47634>;h7?k9:?74a<6lh168=j51e`8916c2hi019>k:`c8916c2h3019>k:`:8916c2:l019>k:2g8916c2:n019>k:2a8916c2:<019>k:5g8916c2=n019>k:5a8916c2=h019>k:578916c2h:019>k:8g8916c20i019>k:8`8916c20k019>k:8;8916c202019>k:858916c20<019>k:878916c20>019>k:818916c20;019>k:828916c21l019>k:9g8916c21n019>k:9a8916c21h019>k:9c8916c213019>k:9:8916c2h=019>k:`48916c2h?019>k:`68916c2h9019>k:`08916c2h;019>k:8f8916c208019>k:958916c2k;019>k:`d8916c2ho019>k:`f8916c2=9370:?d;602>;38m0??85241f9062<uz>oj7>52z\7fc=:<9n1ii5rs5fg>5<5sW>ii63;0e8ff>{t<mh1<7<t^5``?827l3o27p};d`83>7}Y<kh019>k:d:8yv2c13:1>vP;b`9>05b=m>1v9j7:181[2e127?<i4j6:p0a1=838pR9l7;<63`?c23ty?h;4?:3y]0g1<5=:o6h:4}r6g1?6=:rT?n;5241f9a6=z{=n?6=4={_6a1>;38m0n>6s|4e194?4|V=h?70:?d;g2?xu3l;0;6?uQ4c18916c2l:0q~:k0;296~X3j8168=j5dd9~w1ea2909wS:m0:?74a<cl2wx8nk50;0xZ1ga34>;h7jl;|q7ga<72;qU8lk4=52g>ad<uz>ho7>52z\7ea=:<9n1hl5rs5aa>5<5sW>jo63;0e8g=>{t<jk1<7<t^5ca?827l3n37p};c883>7}Y<hk019>k:e58yv2d03:1>vP;a89>05b=l?1v9m8:181[2f027?<i4k5:p0`0=838pR9m:;<63`?`33ty?i84?:3y]0f2<5=:o6k=4}r6f0?6=:rT?o>5241f9b7=z{=o86=4={_6`6>;38m0m=6s|4d094?4|V=i:70:?d;d3?xu3m80;6?uQ4b28916c2ll0q~:j0;296~X3jm168=j5ed9~w1bd2909wS:m2:?74a<bi2wx8i?50;0xZ1g034>;h7ji;|q7g3<72;qU8l84=52g>a2<uz8n;7>53z\7a==::l=1=i94=3g;>4773ty8n=4?:3y]0`c<5:h;6<j8;|q0<6<72:qU9<=4=2:0>4b0349387??f:p6`?=838pR8;9;<0f=?7c?2wx=;k50;5x912728n:70=7a;7a?85>l3?i70<l0;7a?84c;3?i70=<f;7a?853m3?i7p}=e283>6}::l=1:?522d4927=::l>1=nh4}r62<?6=9?q6>h951e4897c128n=70<j9;7f?826j3;o;63<2286a>;4:;0>i63<2086a>;4:90>i63<1g86a>;49l0>i63<1e86a>;49j0>i63<1c86a>;4980>i63<1186a>;48o0>i63<0d86a>;48m0>i63<0b86a>;48k0>i63<0`86a>;4800:h;5rs3g5>5<3s48n:7?k7:?1ag<699168=h5103897c328;;7p}=e`83>7}::l31:?522d:95f`<uz9jh7>53z?0f5<1:278mk492:?0<0<6ko1v9?;:18685e83;o:63<ag82`3=:<8?1:?5240695a1<5:286<j9;|q0ec<72?q6?lh51e5896d628;;70=75;325>;40=0:=<523c09546<5:h?6<>j;|q750<72;q68<<5639>043=9m=0q~:>2;297~;39;0:h:523979546<5:2?6<??;|q764<72:q68?<5639>077=9m=019<?:4;8yv25:3:1>v3;2382`2=:<;>1==h4}r612?6=;r7?>:492:?763<6l>168?6511d8yv25?3:1>v3;2682`2=:<;k1==h4}r614?6=;r7?><492:?765<6l>168?:51028yv25=3:18v3;27856>;3:h0:==5243:9546<5=8>6<j8;|q756<72;q68<:5639>045=9m=0q~:=3;290~;39k0:h;5240c95a0<5=;26<j9;<610?7dn2wx8<950;06826i3;o;63=7586a>;5?:0>i63=7386a>;5?80>i63=7186a>;5>o0>i63=6d86a>;5>m0>i63=6b86a>;5jk0>i63=b`86a>;5j00>i63=b986a>;5j>0>i63=b786a>;5j<0>i63=b586a>;5j:0>i63=9986a>;51>0>i63=9786a>;51<0>i63=9586a>;51:0>i63=9386a>;5180>i63=9186a>;5:m0>i63=2b86a>;5:k0>i63=2`86a>;5:00>i63=2986a>;5:>0>i63=2786a>;5:<0>i6s|40494?5|5=;26<j8;<63b?76827?<i4>db9~w14>2908w0:>f;3g2>;39l0:h;5243c95f`<uz>:h7>52dy>04`=9m=01>96:4g8961?2<o01>98:4g896112<o01>9::4g896132<o01>9<:4g896152<o01>9>:4g8916d2<o019>m:4g8916f2<o019>6:4g8916?2<o019>8:4g891612<o019>::4g891632<o01>hn:4g896`>2<o01>h7:4g896`02<o01>h9:4g896`22<o01>h;:4g896`42<o01>h=:0f5?85b03?n70=j7;7f?85b>3?n70=j5;7f?85b<3?n70=j3;7f?85b:3?n70=j1;3g2>;4m90>i63<d786a>;4l<0>i63<d586a>;4l;0>i63<d186a>;4kl0>i63<cb86a>;4kh0:h;523b:95a0<uz>:o7>524y>04c=9m=01??m:4g8977f2<o01??6:4g8977?2<o01??8:4g897712<o01??::4g897732<o01??<:4g897052<o01?8>:4g897072<o01?;i:4g8973b2<o01?;k:4g8973d2<o01?;m:4g8973f2<o01?=i:4g8975b2<o01?=k:4g8975d2<o01?=m:4g8975f2<o01?=6:4g8975?2<o01?=8:4g897>12<o01?6::4g897>32<o01?6<:4g897>52<o01?6>:4g897>72<o01?9i:4g8971b2<o0q~=78;296~;40m0>n63<8b82gc=z{:3>6=4={<1;`?7b82785;4>cg9~w6>>2909w0=7d;3`b>;40l0>n6s|39594?4|5:2h68l4=2:a>4ea3ty85>4?:3y>7=e=9l:01>7;:0ae?xu40?0;6?u239`91g=:;1k1=nh4}r1:5?6=:r784o4>e19>7<4=9jl0q~=7f;296~;40h0:i=5238295f`<uz92;7>52z?0<`<6m916?4651bd8yv5fk3:1>v3<8d82gc=:;1?1=<=4}r1:f?6=:r78m=4:b:?0=c<6ko1v>o7:18185f83;n<63<a882gc=z{:3h6=4={<1b4?7dn278m<4:b:p7<g=838p1>7i:4`896?b28im7p}<a783>7}:;0l1=h>4=2c4>4ea3ty8544?:3y>7<c==k16?4j51bd8yv5f<3:1>v3<9d82a5=:;h?1=nh4}r1b6?6=:r785i4>e19>7d5=9jl0q~=na;296~;4i80:i=523``95f`<uz9ji7>52z?0e4<6ko16?5;51008yv4em3:1>v3=c286f>;5k;0:ok5rs3aa>5<5s48h?7?j0:?1gf<6ko1v?li:18184d;3;hj63=c586f>{t:kn1<7<t=3a1>0d<5;i:6<mi;|q1g<<72;q6>n<51d2897ef28im7p}=bb83>7}::j;19o522b295f`<uz8h;7>52z?1g4<6m916>n651bd8yv4d=3:1>v3=c182a5=::j<1=nh4}r0``?6=:r79o94>e19>6fc=9jl0q~<j2;296~;5k=0:ok522d69547<uz8o=7>52z?1`3<2j279h84>cg9~w7bb2909w0<k6;3f4>;5lo0:ok5rs3f1>5<5s48o:7?lf:?1`2<2j2wx>i>50;0x97b22<h01?j;:0ae?xu5lj0;6?u22e795`6<5;no6<mi;|q1gc<72;q6>i:55c9>6a5=9jl0q~<ka;296~;5l=0:i=522e`95f`<uz8o47>52z?1`6<6m916>i751bd8yv4b83:1>v3=d682a5=::l;1=nh4}r0f1?6=:r79h:4>cg9>6`2=9880q~:>0;2956}:;;91945233091<=:;;;1945233291<=:;8l1945230g91<=:;8n1945230a91<=:;8h1945230391<=:;8:1945231d91<=:;9o1945231f91<=:;9i1945231`91<=:;9k1945231;91<=::lh1=nh4}r0e3?6=<r78>>492:?054<6l>16>h?51028966028:m7p}<1`83>0}:;;91=i94=30g>34<5;in6<??;<3e5?76827?<i4;a:p6c0=83?p1><=:708967728n<70<kf;325>;48?0:<k523159546<uz9:57>55z?067<6l>16>nm5100894`628:m70?i0;324>;38m0?46s|2g794?0|5:8:6;<4=22e>4b0348oj7?>2:?043<69916?=:511d8966?28:m7p}<1983>0}:;;;1=i94=3a`>47634;m<7??f:?2ac<699168=j5469~w7`3290<w0==0;41?857m3;o;63=de8254=:;9<1=<?4=227>477349;47?>0:?047<68o1v>?8:18685583;o;63=c`8257=:9ll1==h4=0gf>47734>;h7:9;|q1b6<721q6?<h5639>75b=9m=01?jk:031?857>3;:>63<058254=:;9=1=<?4=223>46a349;>7?>0:p740=83?p1>?i:0f4?84di3;:=63>ed824c=:9ln1=<>4=52g>05<uz8m>7>59z?05`<1:278<n4>d69>6ad=98801>>9:030?857<3;:>63<068257=::on1==h4=223>477349;>7?>1:p743=83?p1>?j:0f4?84d03;:>63>ee824c=:9li1=<>4=52g>04<uz8m=7>58z?05a<1:278<o4>d69>6ad=98;01?hl:02e?84bm3;;j63=fe8257=:;9:1=<=4=221>4733ty8=94?:4y>74b=9m=01?m7:032?87bk3;;j63>ec8255=:<9n19<5rs3d3>5<>s49:o78=;<13e?7c?279h44>109>6ce=98:01?kk:033?84bm3;:=63=fe8254=:;9:1=<<4=221>4743ty8=>4?:4y>74e=9m=01?m9:031?87bj3;;j63>e`8255=:<9n19=5rs3ge>5<fs49:n78=;<13=?7c?279h44>139>6ce=98;01?kk:02e?84bm3;:<63=fe8255=:;9:1=<?4=221>475348no7??e:p744=83>p1>?m:0f4?84d>3;:=63>e`824c=:<9n18k5rs224>5<5s49:=78=;<133?7dn2wx?=;50;0x96772?801>>9:0ae?xu48:0;6?u231d927=:;9>1=nh4}r135?6=:r78<h492:?047<6ko1v?hi:181857l3<970=?0;3`b>{t:oh1<7<t=22`>34<5;lo6<mi;|q1bd<72;q6?=l5639>6`c=9jl0q~<i9;296~;48h0=>63=ee82gc=z{;l36=4={<13=?05348no7?lf:p714=838p1>:<:4`8962528im7p}<4e83>7}:;=91:85235f95f`<uz9?47>52z?006<6m916?9651bd8yv51?3:1>v3<4282gc=:;1>1=<=4}r144?6==r78854>119>71b=99l01>;8:033?852k3;;j63<7882`2=z{:>:6=4={<176?3e349?=7?lf:p71e=838p1>:=:778962d28im7p}<4683>7}:;=81=h>4=264>4ea3ty8:h4?:4y>711=98:01>:l:033?852>3;:<63<5c8255=:;>=1=i94}r15b?6==r788:4>139>71e=99l01>;9:031?852j3;;j63<7982`2=z{:>;6=4={<175?3e349?<7?lf:p71d=838p1>:>:778962e28im7p}<4783>7}:;=;1=h>4=265>4ea3ty8:n4?:4y>710=98:01>:m:033?852=3;:<63<5`8255=:;>?1=i94}r15`?6==r788;4>139>71d=99l01>;::031?852i3;;j63<7782`2=z{:9m6=4={<174?3e3498j7?lf:p71g=838p1>:?:778962f28im7p}<4483>7}:;=:1=h>4=266>4ea3ty8:l4?:4y>713=98:01>:n:033?852<3;:<63<588255=:;>91=i94}r15f?6==r78884>139>71g=99l01>;;:031?85213;;j63<7582`2=z{:>26=4={<10b?02349?57?lf:p712=838p1>=i:0g3?853<3;hj6s|37:94?3|5:>?6<??;<17=?7682789>4>119>70>=98:01>9>:0f4?xu4>00;68u23569544<5:>26<>i;<167?76:278954>0g9>724=9m=0q~=:1;296~;4=;0>n63<5082gc=z{:?h6=4={<166?02349>o7?lf:p701=838p1>;=:0g3?852?3;hj6s|34094?4|5:?96<mi;<1;0?76:2wx?8>50;0x96362<h01>;?:0ae?xu4=k0;6?u2343920=:;<h1=nh4}r162?6=:r789<4>e19>700=9jl0q~=;f;296~;4=90>n63<4g82gc=z{:?j6=4={<164?02349>m7?lf:p703=838p1>;?:0g3?852=3;hj6s|35g94?4|5:>m68l4=26f>4ea3ty8944?:3y>71`=><16?8751bd8yv52<3:1>v3<4g82a5=:;<>1=nh4}r16<?6=:r788h495:?01=<6ko1v>;<:181853m3;n<63<5282gc=z{:8h6=4={<10a?3e3499o7?lf:p763=838p1>=j:041?854=3;hj6s|39094?4|5:9n6<mi;<14=?053ty8>o4?:2y>76b==k16??m55c9>77d=9jl0q~=<4;297~;4;m0::?5233a95`6<5:9?6<mi;|q0<4<72;q6?>j51bd8961?2?80q~=i0;291~;4:j0=963;0c856>;4n00:h:523849546<5:9?6<>i;|q06d<72:q6?>m55c9>77d==k16??o51bd8yv54;3:1?v3<3b8227=:;;h1=h>4=210>4ea3ty84=4?:3y>76e=9jl01>98:708yv5bn3:19v3<2c851>;38h0=>63<f982`2=:;0<1=<<4=210>46a3ty8>44?:2y>76d==k16??o55c9>77?=9jl0q~=<2;297~;4;k0::?5233c95`6<5:996<mi;|q03c<72;q6?>l51bd896112?80q~=je;291~;4:h0=963;08856>;4n>0:h:523869546<5:996<>i;|q06=<72:q6?>o55c9>77?==k16??651bd8yv5493:1?v3<3`8227=:;;31=h>4=212>4ea3ty8;h4?:3y>76g=9jl01>9::708yv5bl3:19v3<28851>;3810=>63<f782`2=:;0>1=<<4=212>46a3ty8>:4?:2y>76?==k16??655c9>771=9jl0q~=<0;297~;4;00::?5233:95`6<5:9;6<mi;|q03a<72;q6?>751bd896132?80q~=jc;291~;4:10=963;06856>;4n<0:h:523809546<5:9;6<>i;|q063<72:q6?>655c9>771==k16??851bd8yv55n3:1?v3<398227=:;;=1=h>4=20e>4ea3ty8;n4?:3y>76>=9jl01>9<:708yv5bj3:19v3<26851>;38?0=>63<f582`2=:;081=<<4=20e>46a3ty8>84?:2y>761==k16??855c9>773=9jl0q~==e;297~;4;>0::?5233495`6<5:8n6<mi;|q03g<72;q6?>951bd896152?80q~=ja;291~;4:?0=963;04856>;4n:0:h:523829546<5:8n6<>i;|q061<72:q6?>855c9>773==k16??:51bd8yv55l3:1?v3<378227=:;;?1=h>4=20g>4ea3ty8;l4?:3y>760=9jl01>9>:708yv5b13:19v3<24851>;38=0=>63<f382`2=:;0:1=<<4=20g>46a3ty8n<4?:02x96432??01>k7:4;896c02<301>k9:4;896c22<301>k;:4;896c42<301>k=:4;896c62<301>k?:4;896d528im70:?d;335>;38m0??>5241f9067<5=:o69=?;<63`?25n2wx8<?50;35827k3?270:?b;7:?827i3?270:?9;7:?82703?270:?7;7:?827>3?270:?5;7:?827<3?270=ia;7:?85a13?270=i8;7:?85a?3?270=i6;7:?85a=3?270=i4;7:?85a;3?270=i2;7:?85c>3?270=k5;7:?85c<3?270=m1;3`b>{t;o;1<7:t=52`>34<5:lj6<j8;<1:<?768278?84>0g9~w164290?w0:?c;3g3>;50?0=>63>f88255=:<9n1?o5rs521>5<3s4>;n7?k7:?2b<<68o16=k651028916c2:30q~:?1;290~;38h0:h:521g:955`<58l<6<??;<63`?5?3ty?<=4?:5y>05?=9m=01<h8:02e?87a>3;:<63;0e803>{t;ol1<7:t=52;>4b034;m:7??f:?2b0<699168=j5459~w6`b290?w0:?7;3g3>;6n<0:<k521g69546<5=:o69=4}r1e`?6=<r7?<;4>d69>5c2=99l01<h<:033?827l3>97p}<fb83>1}:<9?1=i94=0d0>46a34;m>7?>0:?74a<392wx?kl50;1x916328n<70?i2;33b>;38m0?<6s|3ed94?5|5:lj6;<4=2g;>4b0349jn7??f:p7ac=839p1>h6:70896c028n<70=n9;324>{t;mn1<7=t=2d;>34<5:o=6<j8;<1b=?77n2wx?im50;1x96`02?801>k::0f4?85f?3;:<6s|3e`94?5|5:l=6;<4=2g7>4b0349j;7??f:p7ag=839p1>h::70896c428n<70=n5;324>{t;m31<7=t=2d7>34<5:o96<j8;<1b1?77n2wx?i650;1x96`42?801>k>:0f4?85f;3;:<6s|3e594?5|5:l96;<4=2g3>4b0349j?7??f:p7gb=839p1>k7:70896b128n<70=l6;33b>{t;ki1<7:t=2g4>34<5:n>6<j8;<1`1?77n278o;4>119~w6de290>w0=j6;41?85c<3;o;63<c48255=:;j91==h4=2a4>46a3ty8nl4?:6y>7`3=>;16?i<51e5896e228;:70=l3;324>;4k>0:==523b3955`<5:n86<??;|q0f<<72>q6?h:5639>7a6=9m=01>m::031?85d;3;:=63<c78254=:;j;1=<>4=2f2>46a3ty8n54?:9y>7`5=>;16?nk51e5896e228;870=l3;326>;4k?0:=?523b39547<5:n:6<?>;<1`b?77n2wx?o950;5x96c52?801>ml:0f4?85em3;;j63<c08251=:;m;1=<:4=2ag>46a349hj7?>3:p7g0=832p1>k>:70896ef28n<70=me;324>;4k80:=>523e39545<5:io6<?=;<1`f?77n278ok4>139~w6d22902w0=j0;41?85d03;o;63<bd8254=:;j;1=<<4=2a:>477349o=7?>2:?0ga<69816?nl5103896ea28;:7p}<c783>7}:;m<1:?523b495f`<uz9h87>52z?0`0<1:278o84>cg9~w6e52909w0=k4;41?85d;3;hj6s|3c194?1|5:n96874=2f3>0?<5:in6874=2a`>0?<5:ij6874=2a;>0?<5:h?6<mi;|q0`6<72;q6?i<5639>7a5=9jl0q~=k1;296~;4l90=>63<d082gc=z{:im6=4={<1`a?05349hj7?lf:p7fb=838p1>ml:70896ec28im7p}<cc83>7}:;jk1:?523b`95f`<uz9h57>52z?0g=<1:278o44>cg9~w7g62903w0<84;41?84ej3;o;63=a98255=::hk1=<>4=3ca>475348jh7?>3:?1ec<69=16>l=51028yv41j3:1?v3=7582`2=::jo1==h4=3g2>46a3ty9m:4?:2y>625=>;16>l651bd897d628;?7p}=6`83>6}::>91=i94=3a`>477348oj7?>0:p6d?=838p1?9=:70897gf28im7p}=6883>6}::>81=i94=3a`>46a348oj7??f:p6de=839p1?9>:70897ge28im70<n5;320>{t:?21<7=t=352>4b0348hm7?>0:?1`a<6991v?oj:18184083<970<nd;3`b>{t:?=1<7=t=353>4b0348hm7??f:?1`a<68o1v?l?:181841n3<970<nf;3`b>{t:?<1<7=t=34e>4b0348h47?>0:?1`g<6991v?l=:181841m3<970<m1;3`b>{t:??1<7=t=34f>4b0348h47??f:?1`g<68o1v?o;:181841l3<970<n2;3`b>{t:?>1<7=t=34g>4b0348h:7?>0:?1`<<6991v?o9:181841k3<970<n5;3`b>{t:?91<7=t=34`>4b0348h:7??f:?1`<<68o1v?:7:18;846j3<970<92;3g3>;5<h0:==5225a9546<5;>o6<?=;<07b?76;2799<4>159>600=98:0q~<>2;290~;59k0:h:5238:955`<5:ki6<??;<101?7682wx>9750;1x977f2?801?:n:0ae?842;3;:86s|20394?2|5;;j6<j8;<1:2?77n278m44>139>762=98:0q~<;b;296~;5900=>63=4b82gc=z{;;;6=4;{<02=?7c?2785;4>109>7d?=98;01>=<:033?xu5<l0;6>u220:927=::=n1=nh4=37;>4733ty9<k4?:5y>64>=9m=01>7;:02e?85f?3;:>63<338255=z{;?;6=4={<023?05348?j7?lf:p65c=83>p1??8:0f4?85><3;:=63<a68254=:;:;1=<>4}r066?6=:r79=;492:?114<6ko1v?>k:187846>3;o;63<93824c=:;h?1=<<4=213>4773ty9994?:3y>643=>;16>8=51bd8yv47k3:18v3=1482`2=:;081=<?4=2c6>4763499j7?>0:p601=838p1??;:708973228im7p}=0c83>1}::8>1=i94=2;3>46a349j?7?>2:?06`<6991v?;6:181846;3<970<:8;3`b>{t:9k1<7:t=330>4b03492<7?>1:?0e6<69816??j51028yv4?n3:1>v3=bc856>;5110:h:5rs3:f>5<5s48im78=;<0:3?7c?2wx>l>50;5x97df28n<70<n8;33b>;5ih0:<k522``9547<5;ko6<?=;<0bb?76;279m>4>109~w7>c2909w0<m9;41?84>>3;o;6s|28d94?1|5;h26<j8;<0be?769279mo4>119>6db=98;01?oi:031?84e93;:>63=a38256=z{;2h6=4={<0a<?05348297?k7:p6<c=83<p1?l7:0f4?84fj3;;j63=ae8255=::hl1=<?4=3`2>474348j?7??f:p6=d=838p1?l8:70897?328n<7p}=9e83>3}::k=1=i94=3cg>46a348jj7?>0:?1e0<69816>o?5102897g528;:7p}=8`83>7}::k<1:?5228195a1<uz82o7>55z?1f3<6l>16>lh511d897g228;970<m1;325>;5i;0:=?5rs3::>5<5s48i978=;<0:6?7c?2wx>4l50;6x97d228n<70<n5;33b>;5j80:<k522`0955`<uz8347>52z?1f1<1:2795<4>d69~w7?f2908w0<m4;3g3>;5i<0:==522`09546<uz83;7>52z?1f6<1:2795=4>d69~w7?>2909w0<m3;3g3>;5i<0:=>5rs315>5<5s48=>78=;<00b?7c?2wx>>;50;0x97062?801?=j:0f4?xu5<>0;6:u227395a1<5;>j6<>i;<07g?77n2798i4>109>61`=98801?;>:030?842>3;:=6s|22694?4|5;<;6;<4=31g>4b03ty98;4?:6y>636=9m=01?:l:032?843l3;:<63=4g8254=::<;1=<<4=370>475348>97?>3:p665=838p1?;i:708975d28n<7p}=4483>3}::<l1=i94=36g>46a348?j7?>0:?114<69816>8=51018973128:m7p}=3383>7}::<o1:?5222`95a1<uz8?87>56z?11`<6l>16>9h511d8973628;;70<:8;325>;5=:0:==522479547<uz88=7>52z?11a<1:279?l4>d69~w724290>w0<:d;3g3>;5=80:<k5224:9544<5;?86<?>;<061?76:2wx>>>50;0x973d2?801?=6:0f4?xu5<;0;69u224a95a1<5;?36<>i;<067?77n279984>0g9~w74a2909w0<:b;41?84403;o;6s|25394?5|5;?i6<j8;<06<?768279984>119~w74b2909w0<:a;41?844?3;o;6s|25294?4|5;?j6<j8;<06<?76;2wx>:j50;0x97??2?801?69:0f4?xu5?j0;6?u2285927=::1?1=i94}r04f?6=:r795;492:?1<1<6l>1v?9n:18184>=3<970<73;3g3>{t:>31<7<t=3;7>34<5;296<j8;|q13=<72;q6>4=5639>6=7=9m=0q~<87;296~;51;0=>63=8182`2=z{;==6=4={<0:5?05348<j7?k7:p623=838p1?7?:708971b28n<7p}=2583>7}:::l1:?5223f95a1<uz89?7>52z?17`<1:279>n4>d69~w7452909w0<<d;41?845j3;o;6s|23394?4|5;9h6;<4=30b>4b03ty9>=4?:3y>66d=>;16>?751e58yv46n3:1>v3=3`856>;5:10:h:5rs33f>5<5s488578=;<013?7c?2wx><j50;0x975?2?801?<9:0f4?xu59j0;6?u2225927=::;?1=i94}r035?6=:r79>n492:?2b4<6ko1v?>?:181845j3<970?i0;3`b>{t9ol1<7<t=30b>34<58om6<mi;|q2b`<72;q6>?75639>5`c=9jl0q~?id;296~;5:10=>63>ee82gc=z{8lh6=4={<013?0534;no7?lf:p5cd=838p1?<9:70894ce28im7p}>f`83>7}::;?1:?521dc95f`<uz8;57>52z?1<0<1:27:j44>cg9~w76?2909w0<74;41?87a03;hj6s|21594?4|5;286;<4=0d4>4ea3ty9<;4?:3y>6=4=>;16=k851bd8yv47=3:1>v3=80856>;6n<0:ok5rs327>5<5s483<78=;<3e0?7dn2wx>==50;0x971a2?801<h<:0ae?xu58;0;6?u226g927=:9o81=nh4}r63a?6=:r7?<k4>cg9>05b=9980q~<ie;290~;5nj0:ok523149542<5::?6<?<;<133?76<2wx?oh50;6x96db28im70=l5;320>;4k:0:=>523b49542<uz;2o7>52z?04=<6ko16?=951018yv7e93:1>v3<c682gc=:;j<1=<=4}r3;6?6=:r799;4>cg9>603=98>0q~?67;296~;5i:0:ok522`09542<uz>947>52z?76=<6ko168?;5639~w6>32909w0=73;41?85?<3;hj6s|3b294?4|5:i:6<mi;<1g7?7692wv8;>:181[32927>:7;:1:&76g<6j>1v8;7:181[32027>:7;:8:&76g<6j11v8:;:181[33<27>:7;;4:&76g<6k;1v97j:181[20m27>:7:8e:&76g<6?>1v97l:181[20l27>:7:8d:&76g<60;1v97n:181[20j27>:7:8b:&76g<60m1v976:181[20i27>:7:8a:&76g<61=1v977:181[20127>:7:89:&76g<61?1v978:181[20027>:7:88:&76g<61>1v979:181[20?27>:7:87:&76g<6101v97::181[20>27>:7:86:&76g<61h1v97;:181[20=27>:7:85:&76g<61k1v97<:181[20<27>:7:84:&76g<61m1v97=:181[20;27>:7:83:&76g<61l1v97>:181[20:27>:7:82:&76g<61o1v96i:181[20827>:7:80:&76g<6i91v96j:181[21n27>:7:9f:&76g<6i81v96k:181[21m27>:7:9e:&76g<6i;1v96l:181[21l27>:7:9d:&76g<6i:1v96m:181[21k27>:7:9c:&76g<6i=1v96n:181[21j27>:7:9b:&76g<6i<1v966:181[21i27>:7:9a:&76g<6i?1v967:181[21127>:7:99:&76g<6i>1v968:181[21027>:7:98:&76g<6i11v969:181[21?27>:7:97:&76g<6i01v9o::181[2?<27>:7:74:&76g<6ih1v9o;:181[2?;27>:7:73:&76g<6ik1v9o<:181[2?:27>:7:72:&76g<6ij1v9o=:181[2?927>:7:71:&76g<6im1v9o>:181[2?827>:7:70:&76g<6il1v9o?:181[20n27>:7:8f:&76g<6io1v97i:181[20k27>:7:8c:&76g<6j91v97m:181[20927>:7:81:&76g<6j;1v97?:181[21>27>:7:96:&76g<6j:1v96::181[21=27>:7:95:&76g<6j=1v88<:181[31;27>:7;93:&76g<6j<1v8:i:181[33n27>:7;;f:&76g<6j?1v8=?:181[35>27>:7;=6:&76g<6j01v8<j:181[35=27>:7;=5:&76g<6jh1v8<k:181[35<27>:7;=4:&76g<6jk1v8<l:181[35;27>:7;=3:&76g<6jj1v8<m:181[35:27>:7;=2:&76g<6jm1v8<n:181[35927>:7;=1:&76g<6jl1v8<6:181[35827>:7;=0:&76g<6jo1v8<7:181[36n27>:7;>f:&76g<6k91v8<8:181[36m27>:7;>e:&76g<6k81v8:<:181[34127>:7;<9:&76g<6k:1v8:>:181[34027>:7;<8:&76g<6k=1v8:?:181[34?27>:7;<7:&76g<6k<1v8=i:181[34>27>:7;<6:&76g<6k?1v8=j:181[34=27>:7;<5:&76g<6k>1v8=k:181[34<27>:7;<4:&76g<6k11v8=l:181[34;27>:7;<3:&76g<6k01v8=m:181[34:27>:7;<2:&76g<6kh1v8=n:181[34927>:7;<1:&76g<6kk1v9ji:181[2en27>:7:mf:&76g<6>o1v9jk:181[2em27>:7:me:&76g<6?91v9jm:181[2ek27>:7:mc:&76g<6?81v9jn:181[2ej27>:7:mb:&76g<6?;1v9j6:181[2ei27>:7:ma:&76g<6?:1v9j7:181[2e127>:7:m9:&76g<6?=1v9j8:181[2e027>:7:m8:&76g<6?<1v9j9:181[2e?27>:7:m7:&76g<6??1v9j::181[2e>27>:7:m6:&76g<6?11v9j;:181[2e=27>:7:m5:&76g<6?01v9j<:181[2e<27>:7:m4:&76g<6?h1v9j=:181[2e;27>:7:m3:&76g<6?k1v9j?:181[2e927>:7:m1:&76g<6?j1v9mi:181[2e827>:7:m0:&76g<6?m1v9mj:181[2fn27>:7:nf:&76g<6?l1v9mk:181[2fm27>:7:ne:&76g<6?o1v9ml:181[2fl27>:7:nd:&76g<6091v9mm:181[2fk27>:7:nc:&76g<6081v9mn:181[2fj27>:7:nb:&76g<60:1v9m6:181[2fi27>:7:na:&76g<60=1v9m7:181[2f127>:7:n9:&76g<60<1v9m8:181[2f027>:7:n8:&76g<60?1v9k9:181[2d=27>:7:l5:&76g<60>1v9k::181[2d<27>:7:l4:&76g<6011v9k;:181[2d;27>:7:l3:&76g<6001v9k<:181[2d:27>:7:l2:&76g<60h1v9k=:181[2d927>:7:l1:&76g<60k1v9k>:181[2d827>:7:l0:&76g<60j1v9k?:181[2el27>:7:md:&76g<60l1v9jl:181[2e:27>:7:m2:&76g<60o1v9j>:181[2f?27>:7:n7:&76g<6191v9m9:181[2f>27>:7:n6:&76g<6181v9kj:181[2bm27>:7:je:&76g<61;1v9k7:181[2b027>:7:j8:&76g<61:1v8;9:181[32>27>:7;:6:&76g<61<1v8?<:181[36;27>:7;>3:&76g<6111vqco>7;296~N3:j1vbl?7:181M25k2wem<750;0xL14d3tdj=l4?:3yK07e<ugk:n7>52zJ76f=zfh;h6=4={I61g>{ii8n1<7<tH50`?xhf9l0;6?uG43a8ykg6n3:1>vF;2b9~jd472909wE:=c:me77=838pD9<l;|lb67<72;qC8?m4}oc17?6=:rB?>n5rn`07>5<5sA>9o6saa3794?4|@=8h7p`n2783>7}O<;i0qco=7;296~N3:j1vbl<7:181M25k2wem?750;0xL14d3tdj>l4?:3yK07e<ugk9n7>52zJ76f=zfh8h6=4={I61g>{ii;n1<7<tH50`?xhf:l0;6?uG43a8ykg5n3:1>vF;2b9~jd572909wE:=c:me67=838pD9<l;|lb77<72;qC8?m4}oc07?6=:rB?>n5rn`17>5<5sA>9o6saa2794?4|@=8h7p`n3783>7}O<;i0qco<7;296~N3:j1vbl=7:181M25k2wem>750;0xL14d3tdj?l4?:3yK07e<ugk8n7>52zJ76f=zfh9h6=4={I61g>{ii:n1<7<tH50`?xhf;l0;6?uG43a8ykg4n3:1>vF;2b9~jd272909wE:=c:me17=838pD9<l;|lb07<72;qC8?m4}oc77?6=:rB?>n5rn`67>5<5sA>9o6saa5794?4|@=8h7p`n4783>7}O<;i0qco;7;296~N3:j1vbl:7:181M25k2wem9750;0xL14d3tdj8l4?:3yK07e<ugk?n7>52zJ76f=zfh>h6=4={I61g>{ii=n1<7<tH50`?xhf<l0;6?uG43a8ykg3n3:1>vF;2b9~jd372909wE:=c:me07=838pD9<l;|lb17<72;qC8?m4}oc67?6=:rB?>n5rn`77>5<5sA>9o6saa4794?4|@=8h7p`n5783>7}O<;i0qco:7;296~N3:j1vbl;7:181M25k2wem8750;0xL14d3tdj9l4?:3yK07e<ugk>n7>52zJ76f=zfh?h6=4={I61g>{ii<n1<7<tH50`?xhf=l0;6?uG43a8ykg2n3:1>vF;2b9~jd072909wE:=c:me37=838pD9<l;|lb27<72;qC8?m4}oc57?6=:rB?>n5rn`47>5<5sA>9o6saa7794?4|@=8h7p`n6783>7}O<;i0qco97;296~N3:j1vbl87:181M25k2wem;750;0xL14d3tdj:l4?:3yK07e<ugk=n7>52zJ76f=zfh<h6=4={I61g>{ii?n1<7<tH50`?xhf>l0;6?uG43a8ykg1n3:1>vF;2b9~jd172909wE:=c:me27=838pD9<l;|l;f6<728qC8?m4}o:g<?6=9rB?>n5rn9f:>5<6sA>9o6sa8ec94?7|@=8h7p`7dc83>4}O<;i0qc6kc;295~N3:j1vb5jk:182M25k2we4ik50;3xL14d3td3hk4?:0yK07e<ug2n<7>51zJ76f=zf1o:6=4>{I61g>{i0l81<7?tH50`?xh?m:0;6<uG43a8yk>b<3:1=vF;2b9~j=c2290:wE:=c:m<`0=83;pD9<l;|l;a2<728qC8?m4}o:f<?6=9rB?>n5rn9g:>5<6sA>9o6sa8dc94?7|@=8h7p`7ec83>4}O<;i0qc6jc;295~N3:j1vb5kk:182M25k2we4hk50;3xL14d3td3ik4?:0yK07e<ug2m<7>51zJ76f=zf1l:6=4>{I61g>{i0o81<7?tH50`?xh?n:0;6<uG43a8yk>a<3:1=vF;2b9~j=`2290:wE:=c:m<c0=83;pD9<l;|l;b2<728qC8?m4}o:e<?6=9rB?>n5rn9d:>5<6sA>9o6sa8gc94?7|@=8h7p`7fc83>4}O<;i0qc6ic;295~N3:j1vb5hk:182M25k2we4kk50;3xL14d3td3jk4?:0yK07e<ug3;<7>51zJ76f=zf0::6=4>{I61g>{i1981<7?tH50`?xh>8:0;6<uG43a8yk?7<3:1=vF;2b9~j<62290:wE:=c:m=50=83;pD9<l;|l:42<728qC8?m4}o;3<?6=9rB?>n5rn82:>5<6sA>9o6sa91c94?7|@=8h7p`60c83>4}O<;i0qc7?c;295~N3:j1vb4>k:182M25k2we5=k50;3xL14d3td2<k4?:0yK07e<ug3:<7>51zJ76f=zf0;:6=4>{I61g>{i1881<7?tH50`?xh>9:0;6<uG43a8yk?6<3:1=vF;2b9~j<72290:wE:=c:m=40=83;pD9<l;|l:52<728qC8?m4}o;2<?6=9rB?>n5rn83:>5<6sA>9o6sa90c94?7|@=8h7p`61c83>4}O<;i0qc7>c;295~N3:j1vb4?k:182M25k2we5<k50;3xL14d3td2=k4?:0yK07e<ug39<7>51zJ76f=zf08:6=4>{I61g>{i1;81<7?tH50`?xh>::0;6<uG43a8yk?5<3:1=vF;2b9~j<42290:wE:=c:m=70=83;pD9<l;|l:62<728qC8?m4}o;1<?6=9rB?>n5rn80:>5<6sA>9o6sa93c94?7|@=8h7p`62c83>4}O<;i0qc7=c;295~N3:j1vb4<k:182M25k2we5?k50;3xL14d3td2>k4?:0yK07e<ug38<7>51zJ76f=zf09:6=4>{I61g>{i1:81<7?tH50`?xh>;:0;6<uG43a8yk?4<3:1=vF;2b9~j<52290:wE:=c:m=60=83;pD9<l;|l:72<728qC8?m4}o;0<?6=9rB?>n5rn81:>5<6sA>9o6sa92c94?7|@=8h7p`63c83>4}O<;i0qc7<c;295~N3:j1vb4=k:182M25k2we5>k50;3xL14d3td2?k4?:0yK07e<ug3?<7>51zJ76f=zf0>:6=4>{I61g>{i1=81<7?tH50`?xh><:0;6<uG43a8yk?3<3:1=vF;2b9~j<22290:wE:=c:m=10=83;pD9<l;|l:02<728qC8?m4}o;7<?6=9rB?>n5rn86:>5<6sA>9o6sa95c94?7|@=8h7p`64c83>4}O<;i0qc7;c;295~N3:j1vb4:k:182M25k2we59k50;3xL14d3td28k4?:0yK07e<ug3><7>51zJ76f=zf0?:6=4>{I61g>{i1<81<7?tH50`?xh>=:0;6<uG43a8yk?2<3:1=vF;2b9~j<32290:wE:=c:m=00=83;pD9<l;|l:12<728qC8?m4}o;6<?6=9rB?>n5rn87:>5<6sA>9o6sa94c94?7|@=8h7p`65c83>4}O<;i0qc7:c;295~N3:j1vb4;k:182M25k2we58k50;3xL14d3td29k4?:0yK07e<ug3=<7>51zJ76f=zf0<:6=4>{I61g>{i1?81<7?tH50`?xh>>:0;6<uG43a8yk?1<3:1=vF;2b9~j<02290:wE:=c:m=30=83;pD9<l;|l:22<728qC8?m4}o;5<?6=9rB?>n5rn84:>5<6sA>9o6sa97c94?7|@=8h7p`66c83>4}O<;i0qc79c;295~N3:j1vb48k:182M25k2we5;k50;3xL14d3td2:k4?:0yK07e<ug3<<7>51zJ76f=zf0=:6=4>{I61g>{i1>81<7?tH50`?xh>?:0;6<uG43a8yk?0<3:1=vF;2b9~j<12290:wE:=c:m=20=83;pD9<l;|l:32<728qC8?m4}o;4<?6=9rB?>n5rn85:>5<6sA>9o6sa96c94?7|@=8h7p`67c83>4}O<;i0qc78c;295~N3:j1vb49k:182M25k2we5:k50;3xL14d3td2;k4?:0yK07e<ug33<7>51zJ76f=zf02:6=4>{I61g>{i1181<7?tH50`?xh>0:0;6<uG43a8yk??<3:1=vF;2b9~j<>2290:wE:=c:m==0=83;pD9<l;|l:<2<728qC8?m4}o;;<?6=9rB?>n5rn8::>5<6sA>9o6sa99c94?7|@=8h7p`68c83>4}O<;i0qc77c;295~N3:j1vb46k:182M25k2we55k50;3xL14d3td24k4?:0yK07e<ug32<7>51zJ76f=zf03:6=4>{I61g>{i1081<7?tH50`?xh>1:0;6<uG43a8yk?><3:1=vF;2b9~j<?2290:wE:=c:m=<0=83;pD9<l;|l:=2<728qC8?m4}o;:<?6=9rB?>n5rn8;:>5<6sA>9o6sa98c94?7|@=8h7p`69c83>4}O<;i0qc76c;295~N3:j1vb47k:182M25k2we54k50;3xL14d3td25k4?:0yK07e<ug3j<7>51zJ76f=zf0k:6=4>{I61g>{i1h81<7?tH50`?xh>i:0;6<uG43a8yk?f<3:1=vF;2b9~j<g2290:wE:=c:m=d0=83;pD9<l;|l:e2<728qC8?m4}o;b<?6=9rB?>n5rn8c:>5<6sA>9o6sa9`c94?7|@=8h7p`6ac83>4}O<;i0qc7nc;295~N3:j1vb4ok:182M25k2we5lk50;3xL14d3td2mk4?:0yK07e<ug3i<7>51zJ76f=zf0h:6=4>{I61g>{i1k81<7?tH50`?xh>j:0;6<uG43a8yk?e<3:1=vF;2b9~j<d2290:wE:=c:m=g0=83;pD9<l;|l:f2<728qC8?m4}o;a<?6=9rB?>n5rn8`:>5<6sA>9o6sa9cc94?7|@=8h7p`6bc83>4}O<;i0qc7mc;295~N3:j1vb4lk:182M25k2we5ok50;3xL14d3td2nk4?:0yK07e<ug3h<7>51zJ76f=zf0i:6=4>{I61g>{i1j81<7?tH50`?xh>k:0;6<uG43a8yk?d<3:1=vF;2b9~j<e2290:wE:=c:m=f0=83;pD9<l;|l:g2<728qC8?m4}o;`<?6=9rB?>n5rn8a:>5<6sA>9o6sa9bc94?7|@=8h7p`6cc83>4}O<;i0qc7lc;295~N3:j1vb4mk:182M25k2we5nk50;3xL14d3td2ok4?:0yK07e<ug3o<7>51zJ76f=zf0n:6=4>{I61g>{i1m81<7?tH50`?xh>l:0;6<uG43a8yk?c<3:1=vF;2b9~j<b2290:wE:=c:m=a0=83;pD9<l;|l:`2<728qC8?m4}o;g<?6=9rB?>n5rn8f:>5<6sA>9o6sa9ec94?7|@=8h7p`6dc83>4}O<;i0qc7kc;295~N3:j1vb4jk:182M25k2we5ik50;3xL14d3td2hk4?:0yK07e<ug3n<7>51zJ76f=zf0o:6=4>{I61g>{i1l81<7?tH50`?xh>m:0;6<uG43a8yk?b<3:1=vF;2b9~j<c2290:wE:=c:m=`0=83;pD9<l;|l:a2<728qC8?m4}o;f<?6=9rB?>n5rn8g:>5<6sA>9o6sa9dc94?7|@=8h7p`6ec83>4}O<;i0qc7jc;295~N3:j1vb4kk:182M25k2we5hk50;3xL14d3td2ik4?:0yK07e<ug3m<7>51zJ76f=zf0l:6=4>{I61g>{i1o81<7?tH50`?xh>n:0;6<uG43a8yk?a<3:1=vF;2b9~j<`2290:wE:=c:m=c0=83;pD9<l;|l:b2<728qC8?m4}o;e<?6=9rB?>n5rn8d:>5<6sA>9o6sa9gc94?7|@=8h7p`6fc83>4}O<;i0qc7ic;295~N3:j1vb4hk:182M25k2we5kk50;3xL14d3td2jk4?:0yK07e<ugk;<7>51zJ76f=zfh::6=4>{I61g>{ii981<7?tH50`?xhf8:0;6<uG43a8ykg7<3:1=vF;2b9~jd62290:wE:=c:me50=83;pD9<l;|lb42<728qC8?m4}oc3<?6=9rB?>n5rn`2:>5<6sA>9o6saa1c94?7|@=8h7p`n0c83>4}O<;i0qco?c;295~N3:j1vbl>k:182M25k2wem=k50;3xL14d3tdj<k4?:0yK07e<ugk:<7>51zJ76f=zfh;:6=4>{I61g>{ii881<7?tH50`?xhf9:0;6<uG43a8ykg6<3:1=vF;2b9~jd72290:wE:=c:me40=83;pD9<l;|~yEFDsj:o6nl;bg::7xFGJr:vLM^t}AB
\ No newline at end of file
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
<header>
<!-- ISE source project file created by Project Navigator. -->
<!-- -->
<!-- This file contains project source information including a list of -->
<!-- project source files, project and process properties. This file, -->
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
</header>
<version xil_pn:ise_version="13.4" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="../../rtl/tdc_eic.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
<association xil_pn:name="Implementation" xil_pn:seqID="42"/>
</file>
<file xil_pn:name="../../rtl/acam_databus_interface.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="29"/>
</file>
<file xil_pn:name="../../rtl/acam_timecontrol_interface.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="Implementation" xil_pn:seqID="28"/>
</file>
<file xil_pn:name="../../rtl/carrier_info.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="4"/>
<association xil_pn:name="Implementation" xil_pn:seqID="60"/>
</file>
<file xil_pn:name="../../rtl/circular_buffer.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="5"/>
<association xil_pn:name="Implementation" xil_pn:seqID="27"/>
</file>
<file xil_pn:name="../../rtl/clks_rsts_manager.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="6"/>
<association xil_pn:name="Implementation" xil_pn:seqID="59"/>
</file>
<file xil_pn:name="../../rtl/data_engine.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="7"/>
<association xil_pn:name="Implementation" xil_pn:seqID="26"/>
</file>
<file xil_pn:name="../../rtl/data_formatting.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="8"/>
<association xil_pn:name="Implementation" xil_pn:seqID="25"/>
</file>
<file xil_pn:name="../../rtl/decr_counter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="9"/>
<association xil_pn:name="Implementation" xil_pn:seqID="10"/>
</file>
<file xil_pn:name="../../rtl/fmc_tdc_core.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="10"/>
<association xil_pn:name="Implementation" xil_pn:seqID="43"/>
</file>
<file xil_pn:name="../../rtl/fmc_tdc_mezzanine.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="11"/>
<association xil_pn:name="Implementation" xil_pn:seqID="58"/>
</file>
<file xil_pn:name="../../rtl/free_counter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="12"/>
<association xil_pn:name="Implementation" xil_pn:seqID="9"/>
</file>
<file xil_pn:name="../../rtl/incr_counter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="13"/>
<association xil_pn:name="Implementation" xil_pn:seqID="8"/>
</file>
<file xil_pn:name="../../rtl/irq_generator.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="14"/>
<association xil_pn:name="Implementation" xil_pn:seqID="24"/>
</file>
<file xil_pn:name="../../rtl/leds_manager.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="15"/>
<association xil_pn:name="Implementation" xil_pn:seqID="23"/>
</file>
<file xil_pn:name="../../rtl/one_hz_gen.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="16"/>
<association xil_pn:name="Implementation" xil_pn:seqID="22"/>
</file>
<file xil_pn:name="../../rtl/reg_ctrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="17"/>
<association xil_pn:name="Implementation" xil_pn:seqID="21"/>
</file>
<file xil_pn:name="../../rtl/start_retrig_ctrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="18"/>
<association xil_pn:name="Implementation" xil_pn:seqID="20"/>
</file>
<file xil_pn:name="../../ip_cores/common/gencores_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="19"/>
<association xil_pn:name="Implementation" xil_pn:seqID="6"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_arbitrated_mux.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="20"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_crc_gen.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="21"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_delay_gen.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="22"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_dual_clock_ram.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="23"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_dual_pi_controller.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="24"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_extend_pulse.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="25"/>
<association xil_pn:name="Implementation" xil_pn:seqID="19"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_frequency_meter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="26"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_moving_average.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="27"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_prio_encoder.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="28"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_pulse_synchronizer.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="29"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_reset.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="30"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_rr_arbiter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="31"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_serial_dac.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="32"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_sync_ffs.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="33"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_wfifo.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="34"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/common/gc_word_packer.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="35"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/genrams/memory_loader_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="36"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/genrams/generic_shiftreg_fifo.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="37"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/genrams/genram_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="38"/>
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
</file>
<file xil_pn:name="../../ip_cores/genrams/inferred_async_fifo.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="39"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/genrams/inferred_sync_fifo.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="40"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/wbmaster32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="41"/>
<association xil_pn:name="Implementation" xil_pn:seqID="48"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/dma_controller.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="42"/>
<association xil_pn:name="Implementation" xil_pn:seqID="57"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/dma_controller_wb_slave.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="43"/>
<association xil_pn:name="Implementation" xil_pn:seqID="41"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/genram_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="44"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/gn4124_core.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="45"/>
<association xil_pn:name="Implementation" xil_pn:seqID="62"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/gn4124_core_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="46"/>
<association xil_pn:name="Implementation" xil_pn:seqID="18"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/l2p_arbiter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="47"/>
<association xil_pn:name="Implementation" xil_pn:seqID="56"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/l2p_dma_master.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="48"/>
<association xil_pn:name="Implementation" xil_pn:seqID="55"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/l2p_ser.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="49"/>
<association xil_pn:name="Implementation" xil_pn:seqID="54"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/p2l_decode32.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="50"/>
<association xil_pn:name="Implementation" xil_pn:seqID="53"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/p2l_des.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="51"/>
<association xil_pn:name="Implementation" xil_pn:seqID="52"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/p2l_dma_master.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="52"/>
<association xil_pn:name="Implementation" xil_pn:seqID="51"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/pulse_sync_rtl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="53"/>
<association xil_pn:name="Implementation" xil_pn:seqID="50"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="54"/>
<association xil_pn:name="Implementation" xil_pn:seqID="49"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="55"/>
<association xil_pn:name="Implementation" xil_pn:seqID="40"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/serdes_n_to_1_s2_diff.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="56"/>
<association xil_pn:name="Implementation" xil_pn:seqID="39"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="57"/>
<association xil_pn:name="Implementation" xil_pn:seqID="38"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/xilinx_cores/generic_async_fifo_wrapper.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="58"/>
<association xil_pn:name="Implementation" xil_pn:seqID="37"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/xilinx_cores/fifo_32x512.ngc" xil_pn:type="FILE_NGC">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/xilinx_cores/fifo_32x512.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="60"/>
<association xil_pn:name="Implementation" xil_pn:seqID="17"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/xilinx_cores/fifo_32x512.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="61"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/xilinx_cores/fifo_64x512.ngc" xil_pn:type="FILE_NGC">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/xilinx_cores/fifo_64x512.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="70"/>
<association xil_pn:name="Implementation" xil_pn:seqID="16"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/xilinx_cores/fifo_64x512.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="71"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_crossbar/xwb_sdb_crossbar.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="104"/>
<association xil_pn:name="Implementation" xil_pn:seqID="47"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_crossbar/sdb_rom.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="105"/>
<association xil_pn:name="Implementation" xil_pn:seqID="35"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_crossbar/xwb_crossbar.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="106"/>
<association xil_pn:name="Implementation" xil_pn:seqID="34"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_i2c_master/xwb_i2c_master.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="107"/>
<association xil_pn:name="Implementation" xil_pn:seqID="46"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_i2c_master/i2c_master_bit_ctrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="108"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_i2c_master/i2c_master_byte_ctrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="109"/>
<association xil_pn:name="Implementation" xil_pn:seqID="5"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_i2c_master/i2c_master_top.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="110"/>
<association xil_pn:name="Implementation" xil_pn:seqID="13"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_i2c_master/wb_i2c_master.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="111"/>
<association xil_pn:name="Implementation" xil_pn:seqID="33"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_onewire_master/xwb_onewire_master.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="112"/>
<association xil_pn:name="Implementation" xil_pn:seqID="45"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_onewire_master/sockit_owm.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="113"/>
<association xil_pn:name="Implementation" xil_pn:seqID="12"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_onewire_master/wb_onewire_master.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="114"/>
<association xil_pn:name="Implementation" xil_pn:seqID="32"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_slave_adapter/wb_slave_adapter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="115"/>
<association xil_pn:name="Implementation" xil_pn:seqID="11"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_vic/xwb_vic.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="116"/>
<association xil_pn:name="Implementation" xil_pn:seqID="61"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_vic/vic_prio_enc.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="117"/>
<association xil_pn:name="Implementation" xil_pn:seqID="31"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_vic/wb_slave_vic.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="118"/>
<association xil_pn:name="Implementation" xil_pn:seqID="30"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wb_vic/wb_vic.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="119"/>
<association xil_pn:name="Implementation" xil_pn:seqID="44"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wbgen2/wbgen2_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="120"/>
<association xil_pn:name="Implementation" xil_pn:seqID="14"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wbgen2/wbgen2_dpssram.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="121"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wbgen2/wbgen2_eic.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="122"/>
<association xil_pn:name="Implementation" xil_pn:seqID="36"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wbgen2/wbgen2_fifo_async.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="123"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wbgen2/wbgen2_fifo_sync.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="124"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/wishbone/wishbone_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="125"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
</file>
<file xil_pn:name="../../top/spec/tdc_core_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="126"/>
<association xil_pn:name="Implementation" xil_pn:seqID="7"/>
</file>
<file xil_pn:name="../../top/spec/sdb_meta_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="127"/>
<association xil_pn:name="Implementation" xil_pn:seqID="4"/>
</file>
<file xil_pn:name="../../top/spec/spec_top_fmc_tdc.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="128"/>
<association xil_pn:name="Implementation" xil_pn:seqID="63"/>
</file>
<file xil_pn:name="../../ip_cores/mem_core/blk_mem_circ_buff_v6_4.ngc" xil_pn:type="FILE_NGC">
<association xil_pn:name="Implementation" xil_pn:seqID="15"/>
</file>
<file xil_pn:name="spec_top_fmc_tdc.ucf" xil_pn:type="FILE_UCF">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/xilinx_cores/fifo_32x512.xise" xil_pn:type="FILE_ISE">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/gnum_core/xilinx_cores/fifo_64x512.xise" xil_pn:type="FILE_ISE">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
</files>
<properties>
<property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Clk (Configuration Pins)" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin M0" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin M1" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin M2" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
<property xil_pn:name="Device" xil_pn:value="xc6slx45t" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Hardware Co-Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Essential Bits" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="Normal" xil_pn:valueState="non-default"/>
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|spec_top_fmc_tdc|rtl" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="../../top/spec/spec_top_fmc_tdc.vhd" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/spec_top_fmc_tdc" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Last Applied Goal" xil_pn:value="Balanced" xil_pn:valueState="default"/>
<property xil_pn:name="Last Applied Strategy" xil_pn:value="Xilinx Default (unlocked)" xil_pn:valueState="default"/>
<property xil_pn:name="Last Unlock Status" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile spartan6" xil_pn:value="Enable" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Other Bitgen Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Place &amp; Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="spec_top_fmc_tdc" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="For Inputs and Outputs" xil_pn:valueState="non-default"/>
<property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Timing-Driven Packing and Placement" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
<property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="Normal" xil_pn:valueState="non-default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="spec_top_fmc_tdc_map.vhd" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="spec_top_fmc_tdc_timesim.vhd" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="spec_top_fmc_tdc_synthesis.vhd" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="spec_top_fmc_tdc_translate.vhd" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="VHDL" xil_pn:valueState="non-default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Description" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="spec_top_fmc_tdc" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Revision Select" xil_pn:value="00" xil_pn:valueState="default"/>
<property xil_pn:name="Revision Select Tristate" xil_pn:value="Disable" xil_pn:valueState="default"/>
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
<property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="User Browsed Strategy Files" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
<property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
<property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="spec_top_fmc_tdc" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2014-01-27T11:49:48" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="7FBE502A282B40C7A2410990D68F770C" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
</properties>
<bindings>
<binding xil_pn:location="/spec_top_fmc_tdc" xil_pn:name="spec_top_fmc_tdc.ucf"/>
</bindings>
<libraries/>
<autoManagedFiles>
<!-- The following files are identified by `include statements in verilog -->
<!-- source files and are automatically managed by Project Navigator. -->
<!-- -->
<!-- Do not hand-edit this section, as it will be overwritten when the -->
<!-- project is analyzed based on files automatically identified as -->
<!-- include files. -->
</autoManagedFiles>
</project>
Release 13.4 Map O.87xd (nt)
Xilinx Map Application Log File for Design 'spec_top_fmc_tdc'
Design Information
------------------
Command Line : map -intstyle ise -p xc6slx45t-fgg484-3 -w -logic_opt off -ol
high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir
off -pr b -lc off -power off -o spec_top_fmc_tdc_map.ncd spec_top_fmc_tdc.ngd
spec_top_fmc_tdc.pcf
Target Device : xc6slx45t
Target Package : fgg484
Target Speed : -3
Mapper Version : spartan6 -- $Revision: 1.55 $
Mapped Date : Thu Jan 30 19:45:48 2014
Mapping design into LUTs...
Running directed packing...
Running delay-based LUT packing...
Updating timing models...
INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
(.mrp).
Running timing-driven placement...
Total REAL time at the beginning of Placer: 16 secs
Total CPU time at the beginning of Placer: 16 secs
Phase 1.1 Initial Placement Analysis
Phase 1.1 Initial Placement Analysis (Checksum:86187307) REAL time: 19 secs
Phase 2.7 Design Feasibility Check
Phase 2.7 Design Feasibility Check (Checksum:86187307) REAL time: 19 secs
Phase 3.31 Local Placement Optimization
Phase 3.31 Local Placement Optimization (Checksum:86187307) REAL time: 19 secs
Phase 4.2 Initial Placement for Architecture Specific Features
Phase 4.2 Initial Placement for Architecture Specific Features
(Checksum:41b25089) REAL time: 49 secs
Phase 5.36 Local Placement Optimization
Phase 5.36 Local Placement Optimization (Checksum:41b25089) REAL time: 49 secs
Phase 6.30 Global Clock Region Assignment
Phase 6.30 Global Clock Region Assignment (Checksum:41b25089) REAL time: 49 secs
Phase 7.3 Local Placement Optimization
Phase 7.3 Local Placement Optimization (Checksum:41b25089) REAL time: 49 secs
Phase 8.5 Local Placement Optimization
Phase 8.5 Local Placement Optimization (Checksum:41b25089) REAL time: 49 secs
Phase 9.8 Global Placement
.............................
.....................................................................................................................................................................................
......................................................................................................................................................................................
........................................................
Phase 9.8 Global Placement (Checksum:f7363124) REAL time: 1 mins 23 secs
Phase 10.5 Local Placement Optimization
Phase 10.5 Local Placement Optimization (Checksum:f7363124) REAL time: 1 mins 23 secs
Phase 11.18 Placement Optimization
Phase 11.18 Placement Optimization (Checksum:deb7504) REAL time: 1 mins 46 secs
Phase 12.5 Local Placement Optimization
Phase 12.5 Local Placement Optimization (Checksum:deb7504) REAL time: 1 mins 46 secs
Phase 13.34 Placement Validation
Phase 13.34 Placement Validation (Checksum:364223ed) REAL time: 1 mins 47 secs
Total REAL time to Placer completion: 1 mins 54 secs
Total CPU time to Placer completion: 1 mins 53 secs
Running post-placement packing...
Writing output files...
Design Summary
--------------
Design Summary:
Number of errors: 0
Number of warnings: 0
Slice Logic Utilization:
Number of Slice Registers: 3,663 out of 54,576 6%
Number used as Flip Flops: 3,640
Number used as Latches: 0
Number used as Latch-thrus: 0
Number used as AND/OR logics: 23
Number of Slice LUTs: 3,904 out of 27,288 14%
Number used as logic: 3,763 out of 27,288 13%
Number using O6 output only: 2,168
Number using O5 output only: 299
Number using O5 and O6: 1,296
Number used as ROM: 0
Number used as Memory: 1 out of 6,408 1%
Number used as Dual Port RAM: 0
Number used as Single Port RAM: 0
Number used as Shift Register: 1
Number using O6 output only: 1
Number using O5 output only: 0
Number using O5 and O6: 0
Number used exclusively as route-thrus: 140
Number with same-slice register load: 101
Number with same-slice carry load: 39
Number with other load: 0
Slice Logic Distribution:
Number of occupied Slices: 1,665 out of 6,822 24%
Nummber of MUXCYs used: 1,448 out of 13,644 10%
Number of LUT Flip Flop pairs used: 4,968
Number with an unused Flip Flop: 1,681 out of 4,968 33%
Number with an unused LUT: 1,064 out of 4,968 21%
Number of fully used LUT-FF pairs: 2,223 out of 4,968 44%
Number of unique control sets: 157
Number of slice register sites lost
to control set restrictions: 511 out of 54,576 1%
A LUT Flip Flop pair for this architecture represents one LUT paired with
one Flip Flop within a slice. A control set is a unique combination of
clock, reset, set, and enable signals for a registered element.
The Slice Logic Distribution report is not meaningful if the design is
over-mapped for a non-slice resource or if Placement fails.
IO Utilization:
Number of bonded IOBs: 132 out of 296 44%
Number of LOCed IOBs: 132 out of 132 100%
IOB Flip Flops: 57
Specific Feature Utilization:
Number of RAMB16BWERs: 11 out of 116 9%
Number of RAMB8BWERs: 0 out of 232 0%
Number of BUFIO2/BUFIO2_2CLKs: 1 out of 32 3%
Number used as BUFIO2s: 1
Number used as BUFIO2_2CLKs: 0
Number of BUFIO2FB/BUFIO2FB_2CLKs: 1 out of 32 3%
Number used as BUFIO2FBs: 1
Number used as BUFIO2FB_2CLKs: 0
Number of BUFG/BUFGMUXs: 3 out of 16 18%
Number used as BUFGs: 3
Number used as BUFGMUX: 0
Number of DCM/DCM_CLKGENs: 0 out of 8 0%
Number of ILOGIC2/ISERDES2s: 59 out of 376 15%
Number used as ILOGIC2s: 39
Number used as ISERDES2s: 20
Number of IODELAY2/IODRP2/IODRP2_MCBs: 2 out of 376 1%
Number used as IODELAY2s: 2
Number used as IODRP2s: 0
Number used as IODRP2_MCBs: 0
Number of OLOGIC2/OSERDES2s: 38 out of 376 10%
Number used as OLOGIC2s: 18
Number used as OSERDES2s: 20
Number of BSCANs: 0 out of 4 0%
Number of BUFHs: 0 out of 256 0%
Number of BUFPLLs: 1 out of 8 12%
Number of BUFPLL_MCBs: 0 out of 4 0%
Number of DSP48A1s: 0 out of 58 0%
Number of GTPA1_DUALs: 0 out of 2 0%
Number of ICAPs: 0 out of 1 0%
Number of MCBs: 0 out of 2 0%
Number of PCIE_A1s: 0 out of 1 0%
Number of PCILOGICSEs: 0 out of 2 0%
Number of PLL_ADVs: 1 out of 4 25%
Number of PMVs: 0 out of 1 0%
Number of STARTUPs: 0 out of 1 0%
Number of SUSPEND_SYNCs: 0 out of 1 0%
Average Fanout of Non-Clock Nets: 3.59
Peak Memory Usage: 352 MB
Total REAL time to MAP completion: 1 mins 59 secs
Total CPU time to MAP completion: 1 mins 57 secs
Mapping completed.
See MAP report file "spec_top_fmc_tdc_map.mrp" for details.
This source diff could not be displayed because it is too large. You can view the blob instead.
#
# Constraints generated by Synplify Premier maprc, Build 943R
# Product Version "F-2012.03"
#
# Location Constraints
NET "rst_n_a_i" LOC="N20" ;
NET "p2l_clk_p_i" LOC="M20" ;
NET "p2l_clk_n_i" LOC="M19" ;
NET "p2l_data_i(0)" LOC="K20" ;
NET "p2l_data_i(1)" LOC="H22" ;
NET "p2l_data_i(2)" LOC="H21" ;
NET "p2l_data_i(3)" LOC="L17" ;
NET "p2l_data_i(4)" LOC="K17" ;
NET "p2l_data_i(5)" LOC="G22" ;
NET "p2l_data_i(6)" LOC="G20" ;
NET "p2l_data_i(7)" LOC="K18" ;
NET "p2l_data_i(8)" LOC="K19" ;
NET "p2l_data_i(9)" LOC="H20" ;
NET "p2l_data_i(10)" LOC="J19" ;
NET "p2l_data_i(11)" LOC="E22" ;
NET "p2l_data_i(12)" LOC="E20" ;
NET "p2l_data_i(13)" LOC="F22" ;
NET "p2l_data_i(14)" LOC="F21" ;
NET "p2l_data_i(15)" LOC="H19" ;
NET "p2l_dframe_i" LOC="J22" ;
NET "p2l_valid_i" LOC="L19" ;
NET "p2l_rdy_o" LOC="J16" ;
NET "p_wr_req_i(0)" LOC="M22" ;
NET "p_wr_req_i(1)" LOC="M21" ;
NET "p_wr_rdy_o(0)" LOC="L15" ;
NET "p_wr_rdy_o(1)" LOC="K16" ;
NET "rx_error_o" LOC="J17" ;
NET "vc_rdy_i(0)" LOC="B21" ;
NET "vc_rdy_i(1)" LOC="B22" ;
NET "l2p_clk_p_o" LOC="K21" ;
NET "l2p_clk_n_o" LOC="K22" ;
NET "l2p_data_o(0)" LOC="P16" ;
NET "l2p_data_o(1)" LOC="P21" ;
NET "l2p_data_o(2)" LOC="P18" ;
NET "l2p_data_o(3)" LOC="T20" ;
NET "l2p_data_o(4)" LOC="V21" ;
NET "l2p_data_o(5)" LOC="V19" ;
NET "l2p_data_o(6)" LOC="W22" ;
NET "l2p_data_o(7)" LOC="Y22" ;
NET "l2p_data_o(8)" LOC="P22" ;
NET "l2p_data_o(9)" LOC="R22" ;
NET "l2p_data_o(10)" LOC="T21" ;
NET "l2p_data_o(11)" LOC="T19" ;
NET "l2p_data_o(12)" LOC="V22" ;
NET "l2p_data_o(13)" LOC="V20" ;
NET "l2p_data_o(14)" LOC="W20" ;
NET "l2p_data_o(15)" LOC="Y21" ;
NET "l2p_dframe_o" LOC="U22" ;
NET "l2p_valid_o" LOC="T18" ;
NET "l2p_edb_o" LOC="U20" ;
NET "l2p_rdy_i" LOC="U19" ;
NET "l_wr_rdy_i(0)" LOC="R20" ;
NET "l_wr_rdy_i(1)" LOC="T22" ;
NET "p_rd_d_rdy_i(0)" LOC="N16" ;
NET "p_rd_d_rdy_i(1)" LOC="P19" ;
NET "tx_error_i" LOC="M17" ;
NET "irq_p_o" LOC="U16" ;
NET "pll_sclk_o" LOC="AA16" ;
NET "pll_sdi_o" LOC="AA18" ;
NET "pll_cs_o" LOC="Y17" ;
NET "pll_dac_sync_o" LOC="AB16" ;
NET "pll_sdo_i" LOC="AB18" ;
NET "pll_status_i" LOC="Y18" ;
NET "tdc_clk_p_i" LOC="L20" ;
NET "tdc_clk_n_i" LOC="L22" ;
NET "acam_refclk_p_i" LOC="E16" ;
NET "acam_refclk_n_i" LOC="F16" ;
NET "start_from_fpga_o" LOC="W17" ;
NET "err_flag_i" LOC="V11" ;
NET "int_flag_i" LOC="W11" ;
NET "start_dis_o" LOC="T15" ;
NET "stop_dis_o" LOC="U15" ;
NET "data_bus_io(0)" LOC="W6" ;
NET "data_bus_io(1)" LOC="Y6" ;
NET "data_bus_io(2)" LOC="V7" ;
NET "data_bus_io(3)" LOC="W8" ;
NET "data_bus_io(4)" LOC="T8" ;
NET "data_bus_io(5)" LOC="AA12" ;
NET "data_bus_io(6)" LOC="U8" ;
NET "data_bus_io(7)" LOC="AB12" ;
NET "data_bus_io(8)" LOC="Y5" ;
NET "data_bus_io(9)" LOC="AB5" ;
NET "data_bus_io(10)" LOC="R9" ;
NET "data_bus_io(11)" LOC="R8" ;
NET "data_bus_io(12)" LOC="AA6" ;
NET "data_bus_io(13)" LOC="AB6" ;
NET "data_bus_io(14)" LOC="U9" ;
NET "data_bus_io(15)" LOC="V9" ;
NET "data_bus_io(16)" LOC="Y7" ;
NET "data_bus_io(17)" LOC="AB7" ;
NET "data_bus_io(18)" LOC="AA8" ;
NET "data_bus_io(19)" LOC="AB8" ;
NET "data_bus_io(20)" LOC="T10" ;
NET "data_bus_io(21)" LOC="U10" ;
NET "data_bus_io(22)" LOC="W10" ;
NET "data_bus_io(23)" LOC="Y10" ;
NET "data_bus_io(24)" LOC="Y9" ;
NET "data_bus_io(25)" LOC="AB9" ;
NET "data_bus_io(26)" LOC="AA4" ;
NET "data_bus_io(27)" LOC="AB4" ;
NET "address_o(0)" LOC="T12" ;
NET "address_o(1)" LOC="U12" ;
NET "address_o(2)" LOC="Y15" ;
NET "address_o(3)" LOC="AB15" ;
NET "cs_n_o" LOC="AB17" ;
NET "oe_n_o" LOC="V13" ;
NET "rd_n_o" LOC="AB13" ;
NET "wr_n_o" LOC="Y13" ;
NET "ef1_i" LOC="W12" ;
NET "ef2_i" LOC="Y12" ;
NET "enable_inputs_o" LOC="C19" ;
NET "term_en_1_o" LOC="Y11" ;
NET "term_en_2_o" LOC="AB11" ;
NET "term_en_3_o" LOC="R11" ;
NET "term_en_4_o" LOC="T11" ;
NET "term_en_5_o" LOC="R13" ;
NET "tdc_led_status_o" LOC="T14" ;
NET "tdc_led_trig1_o" LOC="W18" ;
NET "tdc_led_trig2_o" LOC="B20" ;
NET "tdc_led_trig3_o" LOC="A20" ;
NET "tdc_led_trig4_o" LOC="D17" ;
NET "tdc_led_trig5_o" LOC="C18" ;
NET "mezz_sys_scl_b" LOC="F7" ;
NET "mezz_sys_sda_b" LOC="F8" ;
NET "mezz_one_wire_b" LOC="A19" ;
NET "spec_clk_i" LOC="H12" ;
NET "carrier_one_wire_b" LOC="D4" ;
NET "pcb_ver_i(0)" LOC="P5" ;
NET "pcb_ver_i(1)" LOC="P4" ;
NET "pcb_ver_i(2)" LOC="AA2" ;
NET "pcb_ver_i(3)" LOC="AA1" ;
NET "prsnt_m2c_n_i" LOC="AB14" ;
NET "spec_led_green_o" LOC="E5" ;
NET "spec_led_red_o" LOC="D5" ;
NET "spec_aux0_i" LOC="C22" ;
NET "spec_aux1_i" LOC="D21" ;
NET "spec_aux2_o" LOC="G19" ;
NET "spec_aux3_o" LOC="F20" ;
NET "spec_aux4_o" LOC="F18" ;
NET "spec_aux5_o" LOC="C20" ;
# End of generated constraints
#
# Constraints generated by Synplify Premier maprc, Build 943R
# Product Version "F-2012.03"
#
# Period Constraints
#Begin clock constraints
# 1003 : define_clock {p:acam_refclk_p_i} -name {acam_refclk31_25} -freq {31.25} -clockgroup {default_clkgroup30__3}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "acam_refclk_p_i" TNM_NET = "acam_refclk_p_i";
TIMESPEC "TS_acam_refclk_p_i" = PERIOD "acam_refclk_p_i" 32.000 ns HIGH 50.00%;
# 1246 : define_clock {n:cmp_GN4124.cmp_clk_in.buf_P_clk} -name {serdes_1_to_n_clk_pll_s2_diff_work_spec_top_fmc_tdc_rtl_6layer0|buf_P_clk_inferred_clock} -ref_rise {0.000000} -ref_fall {2.500000} -uncertainty {0.000000} -period {5.000000} -clockgroup {Inferred_clkgroup_0} -rise {0.000000} -fall {2.500000}
NET "cmp_GN4124.cmp_clk_in.buf_P_clk" TNM_NET = "cmp_GN4124_cmp_clk_in_buf_P_clk";
TIMESPEC "TS_cmp_GN4124_cmp_clk_in_buf_P_clk" = PERIOD "cmp_GN4124_cmp_clk_in_buf_P_clk" 5.000 ns HIGH 50.00%;
# 1002 : define_clock {p:spec_clk_i} -name {spec_clk20} -freq {20} -clockgroup {default_clkgroup29__2}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "spec_clk_i" TNM_NET = "spec_clk_i";
TIMESPEC "TS_spec_clk_i" = PERIOD "spec_clk_i" 50.000 ns HIGH 50.00%;
# 1001 : define_clock {p:tdc_clk_p_i} -name {tdc_clk125p} -freq {125} -clockgroup {default_clkgroup28__1}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_clk_p_i" TNM_NET = "tdc_clk_p_i";
TIMESPEC "TS_tdc_clk_p_i" = PERIOD "tdc_clk_p_i" 8.000 ns HIGH 50.00%;
#End clock constraints
# 1018 : define_false_path -to {p:tdc_led_status_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_status_o" TNM = "to_1018_0";
TIMESPEC "TS_1018_0" = TO "to_1018_0" TIG;
# 1019 : define_false_path -to {p:tdc_led_trig1_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig1_o" TNM = "to_1019_0";
TIMESPEC "TS_1019_0" = TO "to_1019_0" TIG;
# 1020 : define_false_path -to {p:tdc_led_trig2_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig2_o" TNM = "to_1020_0";
TIMESPEC "TS_1020_0" = TO "to_1020_0" TIG;
# 1021 : define_false_path -to {p:tdc_led_trig3_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig3_o" TNM = "to_1021_0";
TIMESPEC "TS_1021_0" = TO "to_1021_0" TIG;
# 1022 : define_false_path -to {p:tdc_led_trig4_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig4_o" TNM = "to_1022_0";
TIMESPEC "TS_1022_0" = TO "to_1022_0" TIG;
# 1023 : define_false_path -to {p:tdc_led_trig5_o}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "tdc_led_trig5_o" TNM = "to_1023_0";
TIMESPEC "TS_1023_0" = TO "to_1023_0" TIG;
# 1024 : define_false_path -from {p:rst_n_a_i}
# c:\fmc-tdc-master\check\hdl\syn\spec\tdc_syn_constraints.sdc
NET "rst_n_a_i" TNM = "from_1024_0";
TIMESPEC "TS_1024_0" = FROM "from_1024_0" TIG;
# Unused constraints (intentionally commented out)
# define_multicycle_path -from { p:data_bus_io[27:0] } { 3 }
# define_multicycle_path -to { p:data_bus_io[27:0] } { 3 }
# define_multicycle_path -to { p:address_o[3:0] } { 3 }
# define_false_path -from { p:spec_aux0_i }
# define_false_path -from { p:spec_aux1_i }
# define_false_path -to { p:spec_aux2_o }
# define_false_path -to { p:spec_aux3_o }
# define_false_path -to { p:spec_aux4_o }
# define_false_path -to { p:spec_aux5_o }
# define_false_path -to { p:spec_led_green_o }
# define_false_path -to { p:spec_led_red_o }
# define_false_path -from { i:gnum_interface_block.rst_reg }
# Location Constraints
PIN "svec_clk_ibuf_cb.O" CLOCK_DEDICATED_ROUTE = FALSE;
PIN "cmp_GN4124.cmp_clk_in.bufg_135.O" CLOCK_DEDICATED_ROUTE = FALSE;
PIN "cmp_tdc_clks_rsts_mgment.tdc_clk125_gbuf.O" CLOCK_DEDICATED_ROUTE = FALSE;
# End of generated constraints
ngdbuild -uc synplicity.ucf syn_tdc.edf
map -detail -w -timing -ol high syn_tdc.ngd
par -w -ol high syn_tdc.ncd par_tdc.ncd syn_tdc.pcf
trce -v 32 -u par_tdc.ncd syn_tdc.pcf -o timing_report
#bitgen -w par_tdc.ncd tdc
bitgen -w -g Binary:Yes par_tdc.ncd tdc
ngdbuild -uc synplicity.ucf syn_tdc.edf;map -detail -w -timing -ol high syn_tdc.ngd;par -w -ol high syn_tdc.ncd par_tdc.ncd syn_tdc.pcf;trce -v 32 -u par_tdc.ncd syn_tdc.pcf -o timing_report;bitgen -w -g Binary:Yes par_tdc.ncd tdc
#-- Synopsys, Inc.
#-- Version D-2010.03
#-- Project file /afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/syn/tdc_syn.prj
#-- Written on Fri Jul 4 10:00:00 2011
#project files
#add_file -include "../../ip_cores/mem_core/blk_mem_circ_buff_v6_4.ngc"
#add_file -include "../../ip_cores/mem_core/blk_mem_circ_buff_v6_4.ndf"
add_file -vhdl -lib work "../../ip_cores/gnum_core/gn4124_core_pkg.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/xilinx_cores/fifo_32x512.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/xilinx_cores/fifo_64x512.vhd"
add_file -vhdl -lib work "../../ip_cores/genrams/genram_pkg.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wishbone_pkg.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wbgen2/wbgen2_pkg.vhd"
add_file -vhdl -lib work "../../ip_cores/common/gencores_pkg.vhd"
add_file -vhdl -lib work "../../top/spec/sdb_meta_pkg.vhd"
add_file -vhdl -lib work "../../top/spec/tdc_core_pkg.vhd"
add_file -vhdl -lib work "../../ip_cores/common/gc_extend_pulse.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/xilinx_cores/generic_async_fifo_wrapper.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/serdes_n_to_1_s2_diff.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/serdes_n_to_1_s2_se.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/l2p_ser.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/serdes_1_to_n_data_s2_se.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/p2l_des.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/serdes_1_to_n_clk_pll_s2_diff.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/p2l_decode32.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/wbmaster32.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/dma_controller_wb_slave.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/dma_controller.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/l2p_dma_master.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/p2l_dma_master.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/l2p_arbiter.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/pulse_sync_rtl.vhd"
add_file -vhdl -lib work "../../ip_cores/gnum_core/gn4124_core.vhd"
add_file -vhdl -lib work "../../ip_cores/mem_core/blk_mem_circ_buff_v6_4.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_crossbar/sdb_rom.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_crossbar/xwb_crossbar.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_crossbar/xwb_sdb_crossbar.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_slave_adapter/wb_slave_adapter.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_i2c_master/i2c_master_bit_ctrl.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_i2c_master/i2c_master_byte_ctrl.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_i2c_master/i2c_master_top.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_i2c_master/wb_i2c_master.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_i2c_master/xwb_i2c_master.vhd"
add_file -verilog -lib work "../../ip_cores/wishbone/wb_onewire_master/sockit_owm.v"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_onewire_master/wb_onewire_master.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_onewire_master/xwb_onewire_master.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wbgen2/wbgen2_dpssram.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wbgen2/wbgen2_eic.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wbgen2/wbgen2_fifo_async.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wbgen2/wbgen2_fifo_sync.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wbgen2/wbgen2_pkg.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_vic/vic_prio_enc.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_vic/wb_slave_vic.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_vic/wb_vic.vhd"
add_file -vhdl -lib work "../../ip_cores/wishbone/wb_vic/xwb_vic.vhd"
add_file -vhdl -lib work "../../rtl/carrier_info.vhd"
add_file -vhdl -lib work "../../rtl/fmc_tdc_core.vhd"
add_file -vhdl -lib work "../../rtl/tdc_eic.vhd"
add_file -vhdl -lib work "../../rtl/fmc_tdc_mezzanine.vhd"
add_file -vhdl -lib work "../../rtl/free_counter.vhd"
add_file -vhdl -lib work "../../rtl/incr_counter.vhd"
add_file -vhdl -lib work "../../rtl/decr_counter.vhd"
add_file -vhdl -lib work "../../rtl/clks_rsts_manager.vhd"
add_file -vhdl -lib work "../../rtl/one_hz_gen.vhd"
add_file -vhdl -lib work "../../rtl/start_retrig_ctrl.vhd"
add_file -vhdl -lib work "../../rtl/data_formatting.vhd"
add_file -vhdl -lib work "../../rtl/data_engine.vhd"
add_file -vhdl -lib work "../../rtl/acam_timecontrol_interface.vhd"
add_file -vhdl -lib work "../../rtl/acam_databus_interface.vhd"
add_file -vhdl -lib work "../../rtl/circular_buffer.vhd"
add_file -vhdl -lib work "../../rtl/irq_generator.vhd"
add_file -vhdl -lib work "../../rtl/reg_ctrl.vhd"
add_file -vhdl -lib work "../../rtl/leds_manager.vhd"
add_file -vhdl -lib work "../../top/spec/dma_eic.vhd"
add_file -vhdl -lib work "../../top/spec/spec_top_fmc_tdc.vhd"
add_file -constraint -lib work "./tdc_syn_constraints.sdc"
#implementation attributes (Verilog)
set_option -vlog_std v2001
set_option -project_relative_includes 1
#implementation: "syn"
impl -add syn -type fpga
impl -active "syn"
#device options
set_option -technology Spartan6
set_option -part XC6SLX45T
set_option -package FGG484
set_option -speed_grade -3
set_option -part_companion ""
# Compiler Options
set_option -compiler_compatible 0
set_option -resource_sharing 0
set_option -synthesis_onoff_pragma 0
set_option -resolve_mixed_driver 1
# sequential_optimization_options
set_option -symbolic_fsm_compiler 1
set_option -no_sequential_opt 0
#compilation/mapping options
set_option -use_fsm_explorer 0
set_option -top_module "spec_top_fmc_tdc"
# mapper_options
set_option -frequency 200
set_option -default_enum_encoding onehot
set_option -write_verilog 0
set_option -write_vhdl 0
set_option -num_critical_paths 5
# Xilinx options
set_option -run_prop_extract 1
set_option -maxfan 500
set_option -disable_io_insertion 0
set_option -pipe 0
set_option -retiming 0
set_option -update_models_cp 0
set_option -fixgatedclocks 3
set_option -fixgeneratedclocks 3
set_option -enable_prepacking 1
set_option -enhance_optimization 1
# NFilter (Netlist restructure)
set_option -enable_nfilter 1
set_option -popfeed 1
set_option -constprop 1
set_option -createhierarchy 0
#VIF options
set_option -write_vif 0
#automatic place and route (vendor) options
set_option -write_apr_constraint 1
#set result format/file last
project -result_file "./syn_tdc.edf"
#project -result_file "./test_tdc_pll/syn_tdc.edf"
#project -result_file "./test_tdc_acam/syn_tdc.edf"
#project -run -fg synthesis
#project -run -fg timing
\ No newline at end of file
# Synopsys, Inc. constraint file
# C:/FMC_TDC/evas_fmc_tdc/syn/tdc_syn_constraints.sdc
# Written on Fri Aug 09 16:09:57 2013
# by Synplify Premier with Design Planner, F-2012.03 Scope Editor
#
# Collections
#
#
# Clocks
#
define_clock {p:tdc_clk_p_i} -name {tdc_clk125p} -freq 125 -clockgroup default_clkgroup28__1
define_clock {p:spec_clk_i} -name {spec_clk20} -freq 20 -clockgroup default_clkgroup29__2
define_clock {p:acam_refclk_p_i} -name {acam_refclk31_25} -freq 31.25 -clockgroup default_clkgroup30__3
define_clock {n:gnum_interface_block.cmp_clk_in.rx_bufg_pll_x1} -name {gnum_clk200} -freq 200 -clockgroup default_clkgroup31__4
#
# Clock to Clock
#
#
# Inputs/Outputs
#
define_input_delay -disable -default 2.00 -improve 0.00 -route 0.00 -ref {tdc_clk125:r}
define_output_delay -disable -default 2.00 -improve 0.00 -route 0.00 -ref {tdc_clk125:r}
#
# Registers
#
#
# Delay Paths
#
define_multicycle_path -from {{p:data_bus_io[27:0]}} 3
define_multicycle_path -to {{p:data_bus_io[27:0]}} 3
define_multicycle_path -to {{p:address_o[3:0]}} 3
define_false_path -from {{p:spec_aux0_i}}
define_false_path -from {{p:spec_aux1_i}}
define_false_path -to {{p:spec_aux2_o}}
define_false_path -to {{p:spec_aux3_o}}
define_false_path -to {{p:spec_aux4_o}}
define_false_path -to {{p:spec_aux5_o}}
define_false_path -to {{p:spec_led_green_o}}
define_false_path -to {{p:spec_led_red_o}}
define_false_path -to {{p:tdc_led_status_o}}
define_false_path -to {{p:tdc_led_trig1_o}}
define_false_path -to {{p:tdc_led_trig2_o}}
define_false_path -to {{p:tdc_led_trig3_o}}
define_false_path -to {{p:tdc_led_trig4_o}}
define_false_path -to {{p:tdc_led_trig5_o}}
define_false_path -from {{p:rst_n_a_i}}
define_false_path -from {{i:gnum_interface_block.rst_reg}}
#
# Attributes
#
define_global_attribute {syn_useioff} {1}
define_global_attribute {syn_noarrayports} {1}
define_global_attribute {syn_netlist_hierarchy} {0}
define_attribute {p:acam_refclk_p_i} {syn_loc} {E16}
define_attribute {p:acam_refclk_n_i} {syn_loc} {F16}
define_attribute {p:tdc_clk_p_i} {syn_loc} {L20}
define_attribute {p:tdc_clk_n_i} {syn_loc} {L22}
define_attribute {p:tdc_led_trig1_o} {syn_loc} {W18}
define_attribute {p:tdc_led_trig2_o} {syn_loc} {B20}
define_attribute {p:tdc_led_trig3_o} {syn_loc} {A20}
define_attribute {p:term_en_1_o} {syn_loc} {Y11}
define_attribute {p:term_en_2_o} {syn_loc} {AB11}
define_attribute {p:ef1_i} {syn_loc} {W12}
define_attribute {p:ef2_i} {syn_loc} {Y12}
define_attribute {p:term_en_3_o} {syn_loc} {R11}
define_attribute {p:term_en_4_o} {syn_loc} {T11}
define_attribute {p:term_en_5_o} {syn_loc} {R13}
define_attribute {p:tdc_led_status_o} {syn_loc} {T14}
define_attribute {p:tdc_led_trig4_o} {syn_loc} {D17}
define_attribute {p:tdc_led_trig5_o} {syn_loc} {C18}
define_attribute {p:pll_sclk_o} {syn_loc} {AA16}
define_attribute {p:pll_dac_sync_o} {syn_loc} {AB16}
define_attribute {p:pll_cs_o} {syn_loc} {Y17}
define_attribute {p:cs_n_o} {syn_loc} {AB17}
define_attribute {p:prsnt_m2c_n_i} {syn_loc} {AB14}
define_attribute {p:err_flag_i} {syn_loc} {V11}
define_attribute {p:int_flag_i} {syn_loc} {W11}
define_attribute {p:start_dis_o} {syn_loc} {T15}
define_attribute {p:stop_dis_o} {syn_loc} {U15}
define_attribute {p:rst_n_a_i} {syn_loc} {N20}
define_attribute {p:p2l_clk_p_i} {syn_loc} {M20}
define_attribute {p:p2l_clk_n_i} {syn_loc} {M19}
define_attribute {p:p2l_data_i[15]} {syn_loc} {H19}
define_attribute {p:p2l_data_i[14]} {syn_loc} {F21}
define_attribute {p:p2l_data_i[13]} {syn_loc} {F22}
define_attribute {p:p2l_data_i[12]} {syn_loc} {E20}
define_attribute {p:p2l_data_i[11]} {syn_loc} {E22}
define_attribute {p:p2l_data_i[10]} {syn_loc} {J19}
define_attribute {p:p2l_data_i[9]} {syn_loc} {H20}
define_attribute {p:p2l_data_i[8]} {syn_loc} {K19}
define_attribute {p:p2l_data_i[7]} {syn_loc} {K18}
define_attribute {p:p2l_data_i[6]} {syn_loc} {G20}
define_attribute {p:p2l_data_i[5]} {syn_loc} {G22}
define_attribute {p:p2l_data_i[4]} {syn_loc} {K17}
define_attribute {p:p2l_data_i[3]} {syn_loc} {L17}
define_attribute {p:p2l_data_i[2]} {syn_loc} {H21}
define_attribute {p:p2l_data_i[1]} {syn_loc} {H22}
define_attribute {p:p2l_data_i[0]} {syn_loc} {K20}
define_attribute {p:p2l_dframe_i} {syn_loc} {J22}
define_attribute {p:p2l_valid_i} {syn_loc} {L19}
define_attribute {p:p2l_rdy_o} {syn_loc} {J16}
define_attribute {p:p_wr_req_i[1]} {syn_loc} {M21}
define_attribute {p:p_wr_req_i[0]} {syn_loc} {M22}
define_attribute {p:p_wr_rdy_o[1]} {syn_loc} {K16}
define_attribute {p:p_wr_rdy_o[0]} {syn_loc} {L15}
define_attribute {p:rx_error_o} {syn_loc} {J17}
define_attribute {p:vc_rdy_i[1]} {syn_loc} {B22}
define_attribute {p:vc_rdy_i[0]} {syn_loc} {B21}
define_attribute {p:l2p_clk_p_o} {syn_loc} {K21}
define_attribute {p:l2p_clk_n_o} {syn_loc} {K22}
define_attribute {p:l2p_data_o[15]} {syn_loc} {Y21}
define_attribute {p:l2p_data_o[14]} {syn_loc} {W20}
define_attribute {p:l2p_data_o[13]} {syn_loc} {V20}
define_attribute {p:l2p_data_o[12]} {syn_loc} {V22}
define_attribute {p:l2p_data_o[11]} {syn_loc} {T19}
define_attribute {p:l2p_data_o[10]} {syn_loc} {T21}
define_attribute {p:l2p_data_o[9]} {syn_loc} {R22}
define_attribute {p:l2p_data_o[8]} {syn_loc} {P22}
define_attribute {p:l2p_data_o[7]} {syn_loc} {Y22}
define_attribute {p:l2p_data_o[6]} {syn_loc} {W22}
define_attribute {p:l2p_data_o[5]} {syn_loc} {V19}
define_attribute {p:l2p_data_o[4]} {syn_loc} {V21}
define_attribute {p:l2p_data_o[3]} {syn_loc} {T20}
define_attribute {p:l2p_data_o[2]} {syn_loc} {P18}
define_attribute {p:l2p_data_o[1]} {syn_loc} {P21}
define_attribute {p:l2p_data_o[0]} {syn_loc} {P16}
define_attribute {p:l2p_dframe_o} {syn_loc} {U22}
define_attribute {p:l2p_valid_o} {syn_loc} {T18}
define_attribute {p:l2p_edb_o} {syn_loc} {U20}
define_attribute {p:l2p_rdy_i} {syn_loc} {U19}
define_attribute {p:l_wr_rdy_i[1]} {syn_loc} {T22}
define_attribute {p:l_wr_rdy_i[0]} {syn_loc} {R20}
define_attribute {p:p_rd_d_rdy_i[1]} {syn_loc} {P19}
define_attribute {p:p_rd_d_rdy_i[0]} {syn_loc} {N16}
define_attribute {p:tx_error_i} {syn_loc} {M17}
define_attribute {p:irq_p_o} {syn_loc} {U16}
define_attribute {p:pll_sdo_i} {syn_loc} {AB18}
define_attribute {p:pll_status_i} {syn_loc} {Y18}
define_attribute {p:pll_sdi_o} {syn_loc} {AA18}
define_attribute {p:start_from_fpga_o} {syn_loc} {W17}
define_attribute {p:data_bus_io[27]} {syn_loc} {AB4}
define_attribute {p:data_bus_io[26]} {syn_loc} {AA4}
define_attribute {p:data_bus_io[25]} {syn_loc} {AB9}
define_attribute {p:data_bus_io[24]} {syn_loc} {Y9}
define_attribute {p:data_bus_io[23]} {syn_loc} {Y10}
define_attribute {p:data_bus_io[22]} {syn_loc} {W10}
define_attribute {p:data_bus_io[21]} {syn_loc} {U10}
define_attribute {p:data_bus_io[20]} {syn_loc} {T10}
define_attribute {p:data_bus_io[19]} {syn_loc} {AB8}
define_attribute {p:data_bus_io[18]} {syn_loc} {AA8}
define_attribute {p:data_bus_io[17]} {syn_loc} {AB7}
define_attribute {p:data_bus_io[16]} {syn_loc} {Y7}
define_attribute {p:data_bus_io[15]} {syn_loc} {V9}
define_attribute {p:data_bus_io[14]} {syn_loc} {U9}
define_attribute {p:data_bus_io[13]} {syn_loc} {AB6}
define_attribute {p:data_bus_io[12]} {syn_loc} {AA6}
define_attribute {p:data_bus_io[11]} {syn_loc} {R8}
define_attribute {p:data_bus_io[10]} {syn_loc} {R9}
define_attribute {p:data_bus_io[9]} {syn_loc} {AB5}
define_attribute {p:data_bus_io[8]} {syn_loc} {Y5}
define_attribute {p:data_bus_io[7]} {syn_loc} {AB12}
define_attribute {p:data_bus_io[6]} {syn_loc} {U8}
define_attribute {p:data_bus_io[5]} {syn_loc} {AA12}
define_attribute {p:data_bus_io[4]} {syn_loc} {T8}
define_attribute {p:data_bus_io[3]} {syn_loc} {W8}
define_attribute {p:data_bus_io[2]} {syn_loc} {V7}
define_attribute {p:data_bus_io[1]} {syn_loc} {Y6}
define_attribute {p:data_bus_io[0]} {syn_loc} {W6}
define_attribute {p:address_o[3]} {syn_loc} {AB15}
define_attribute {p:address_o[2]} {syn_loc} {Y15}
define_attribute {p:address_o[1]} {syn_loc} {U12}
define_attribute {p:address_o[0]} {syn_loc} {T12}
define_attribute {p:oe_n_o} {syn_loc} {V13}
define_attribute {p:rd_n_o} {syn_loc} {AB13}
define_attribute {p:wr_n_o} {syn_loc} {Y13}
define_attribute {p:enable_inputs_o} {syn_loc} {C19}
define_attribute {p:spec_aux0_i} {syn_loc} {C22}
define_attribute {p:spec_aux1_i} {syn_loc} {D21}
define_attribute {p:spec_aux2_o} {syn_loc} {G19}
define_attribute {p:spec_aux3_o} {syn_loc} {F20}
define_attribute {p:spec_aux4_o} {syn_loc} {F18}
define_attribute {p:spec_aux5_o} {syn_loc} {C20}
define_attribute {p:spec_led_green_o} {syn_loc} {E5}
define_attribute {p:spec_led_red_o} {syn_loc} {D5}
define_attribute {p:spec_clk_i} {syn_loc} {H12}
define_attribute {p:carrier_one_wire_b} {syn_loc} {D4}
define_attribute {p:mezz_one_wire_b} {syn_loc} {A19}
define_attribute {p:mezz_sys_scl_b} {syn_loc} {F7}
define_attribute {p:mezz_sys_sda_b} {syn_loc} {F8}
define_attribute {p:pcb_ver_i[0]} {syn_loc} {P5}
define_attribute {p:pcb_ver_i[1]} {syn_loc} {P4}
define_attribute {p:pcb_ver_i[2]} {syn_loc} {AA2}
define_attribute {p:pcb_ver_i[3]} {syn_loc} {AA1}
define_attribute {p:prsnt_m2c_n_i} {syn_loc} {AB14}
#
# I/O Standards
#
define_io_standard {acam_refclk_p_i} syn_pad_type {DIFF_SSTL_18_Class_II}
define_io_standard {acam_refclk_n_i} syn_pad_type {DIFF_SSTL_18_Class_II}
define_io_standard {tdc_clk_p_i} syn_pad_type {DIFF_SSTL_18_Class_II}
define_io_standard {tdc_clk_n_i} syn_pad_type {DIFF_SSTL_18_Class_II}
define_io_standard {tdc_led_trig1_o} syn_pad_type {LVCMOS_25}
define_io_standard {tdc_led_trig2_o} syn_pad_type {LVCMOS_25}
define_io_standard {tdc_led_trig3_o} syn_pad_type {LVCMOS_25}
define_io_standard {term_en_1_o} syn_pad_type {LVCMOS_25}
define_io_standard {term_en_2_o} syn_pad_type {LVCMOS_25}
define_io_standard {ef1_i} syn_pad_type {LVCMOS_25}
define_io_standard {ef2_i} syn_pad_type {LVCMOS_25}
define_io_standard {term_en_3_o} syn_pad_type {LVCMOS_25}
define_io_standard {term_en_4_o} syn_pad_type {LVCMOS_25}
define_io_standard {term_en_5_o} syn_pad_type {LVCMOS_25}
define_io_standard {tdc_led_status_o} syn_pad_type {LVCMOS_25}
define_io_standard {tdc_led_trig4_o} syn_pad_type {LVCMOS_25}
define_io_standard {tdc_led_trig5_o} syn_pad_type {LVCMOS_25}
define_io_standard {pll_sclk_o} syn_pad_type {LVCMOS_25}
define_io_standard {pll_dac_sync_o} syn_pad_type {LVCMOS_25}
define_io_standard {pll_cs_o} syn_pad_type {LVCMOS_25}
define_io_standard {cs_n_o} syn_pad_type {LVCMOS_25}
define_io_standard {prsnt_m2c_n_i} syn_pad_type {LVCMOS_25}
define_io_standard {rst_n_a_i} syn_pad_type {LVCMOS18}
define_io_standard {p2l_clk_p_i} syn_pad_type {DIFF_SSTL_18_Class_II}
define_io_standard {p2l_clk_n_i} syn_pad_type {DIFF_SSTL_18_Class_II}
define_io_standard {p2l_data_i[15:0]} syn_pad_type {SSTL_18_Class_I}
define_io_standard {p2l_dframe_i} syn_pad_type {SSTL_18_Class_I}
define_io_standard {p2l_valid_i} syn_pad_type {SSTL_18_Class_I}
define_io_standard {p2l_rdy_o} syn_pad_type {SSTL_18_Class_I}
define_io_standard {p_wr_req_i[1:0]} syn_pad_type {SSTL_18_Class_I}
define_io_standard {p_wr_rdy_o[1:0]} syn_pad_type {SSTL_18_Class_I}
define_io_standard {rx_error_o} syn_pad_type {SSTL_18_Class_I}
define_io_standard {vc_rdy_i[1:0]} syn_pad_type {SSTL_18_Class_I}
define_io_standard {l2p_clk_p_o} syn_pad_type {DIFF_SSTL_18_Class_II}
define_io_standard {l2p_clk_n_o} syn_pad_type {DIFF_SSTL_18_Class_II}
define_io_standard {l2p_data_o[15:0]} syn_pad_type {SSTL_18_Class_I}
define_io_standard {l2p_dframe_o} syn_pad_type {SSTL_18_Class_I}
define_io_standard {l2p_valid_o} syn_pad_type {SSTL_18_Class_I}
define_io_standard {l2p_edb_o} syn_pad_type {SSTL_18_Class_I}
define_io_standard {l2p_rdy_i} syn_pad_type {SSTL_18_Class_I}
define_io_standard {l_wr_rdy_i[1:0]} syn_pad_type {SSTL_18_Class_I}
define_io_standard {p_rd_d_rdy_i[1:0]} syn_pad_type {SSTL_18_Class_I}
define_io_standard {tx_error_i} syn_pad_type {SSTL_18_Class_I}
define_io_standard {irq_p_o} syn_pad_type {LVCMOS_25}
define_io_standard {pcb_ver_i[0]} syn_pad_type {LVCMOS_15}
define_io_standard {pcb_ver_i[1]} syn_pad_type {LVCMOS_15}
define_io_standard {pcb_ver_i[2]} syn_pad_type {LVCMOS_15}
define_io_standard {pcb_ver_i[3]} syn_pad_type {LVCMOS_15}
define_io_standard {prsnt_m2c_n_i} syn_pad_type {LVCMOS_25}
define_io_standard {pll_status_i} syn_pad_type {LVCMOS_25}
define_io_standard {pll_sdo_i} syn_pad_type {LVCMOS_25}
define_io_standard {pll_sdi_o} syn_pad_type {LVCMOS_25}
define_io_standard {err_flag_i} syn_pad_type {LVCMOS_25}
define_io_standard {int_flag_i} syn_pad_type {LVCMOS_25}
define_io_standard {start_dis_o} syn_pad_type {LVCMOS_25}
define_io_standard {start_from_fpga_o} syn_pad_type {LVCMOS_25}
define_io_standard {stop_dis_o} syn_pad_type {LVCMOS_25}
define_io_standard {data_bus_io[27:0]} syn_pad_type {LVCMOS_25}
define_io_standard {address_o[3:0]} syn_pad_type {LVCMOS_25}
define_io_standard {oe_n_o} syn_pad_type {LVCMOS_25}
define_io_standard {rd_n_o} syn_pad_type {LVCMOS_25}
define_io_standard {wr_n_o} syn_pad_type {LVCMOS_25}
define_io_standard {enable_inputs_o} syn_pad_type {LVCMOS_25}
define_io_standard {spec_aux0_i} syn_pad_type {LVCMOS18}
define_io_standard {spec_aux1_i} syn_pad_type {LVCMOS18}
define_io_standard {spec_aux2_o} syn_pad_type {LVCMOS18}
define_io_standard {spec_aux3_o} syn_pad_type {LVCMOS18}
define_io_standard {spec_aux4_o} syn_pad_type {LVCMOS18}
define_io_standard {spec_aux5_o} syn_pad_type {LVCMOS18}
define_io_standard {spec_led_green_o} syn_pad_type {LVCMOS_25}
define_io_standard {spec_led_red_o} syn_pad_type {LVCMOS_25}
define_io_standard {spec_clk_i} syn_pad_type {LVCMOS_25}
define_io_standard {carrier_one_wire_b} syn_pad_type {LVCMOS_25}
define_io_standard {mezz_sys_scl_b} syn_pad_type {LVCMOS_25}
define_io_standard {mezz_sys_sda_b} syn_pad_type {LVCMOS_25}
define_io_standard {mezz_one_wire_b} syn_pad_type {LVCMOS_25}
#
# Compile Points
#
#
# Other
#
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -107,7 +107,9 @@
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="_xmsgs/xst.xmsgs"/>
<outfile xil_pn:name="top_tdc.lso"/>
......@@ -129,6 +131,7 @@
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="_ngo"/>
<outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
<outfile xil_pn:name="top_tdc.bld"/>
......@@ -138,6 +141,7 @@
<transform xil_pn:end_ts="1385649562" xil_pn:in_ck="-7440346353620165565" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="7568465460566446564" xil_pn:start_ts="1385649353">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="_xmsgs/map.xmsgs"/>
<outfile xil_pn:name="top_tdc.pcf"/>
<outfile xil_pn:name="top_tdc_map.map"/>
......@@ -152,6 +156,7 @@
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="_xmsgs/par.xmsgs"/>
<outfile xil_pn:name="top_tdc.ncd"/>
<outfile xil_pn:name="top_tdc.pad"/>
......@@ -166,6 +171,7 @@
<transform xil_pn:end_ts="1385649768" xil_pn:in_ck="182976557419624816" xil_pn:name="TRANEXT_bitFile_spartan6" xil_pn:prop_ck="-5293564962942599218" xil_pn:start_ts="1385649703">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="_xmsgs/bitgen.xmsgs"/>
<outfile xil_pn:name="top_tdc.bgn"/>
<outfile xil_pn:name="top_tdc.bin"/>
......@@ -178,6 +184,7 @@
<transform xil_pn:end_ts="1385649703" xil_pn:in_ck="-7440346353620165697" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416185" xil_pn:start_ts="1385649681">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="_xmsgs/trce.xmsgs"/>
<outfile xil_pn:name="top_tdc.twr"/>
<outfile xil_pn:name="top_tdc.twx"/>
......
......@@ -2,7 +2,7 @@
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>top_tdc Project Status (11/28/2013 - 15:42:49)</B></TD></TR>
<TD ALIGN=CENTER COLSPAN='4'><B>top_tdc Project Status</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>svec-tdc-fmc.xise</TD>
......@@ -19,13 +19,12 @@
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
<TD>xc6slx150t-3fgg900</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Errors:</B></LI></UL></TD>
<TD>
No Errors</TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 13.4</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='C:/ohwr-fmc-tdc/hdl/syn/svec\_xmsgs/*.xmsgs?&DataKey=Warning'>3325 Warnings (3303 new)</A></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
......@@ -470,7 +469,7 @@ System Settings</A>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/ohwr-fmc-tdc/hdl/syn/svec\top_tdc.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Thu Nov 28 15:35:36 2013</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/ohwr-fmc-tdc/hdl/syn/svec\_xmsgs/xst.xmsgs?&DataKey=Warning'>3314 Warnings (3303 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/ohwr-fmc-tdc/hdl/syn/svec\_xmsgs/xst.xmsgs?&DataKey=Info'>131 Infos (131 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/ohwr-fmc-tdc/hdl/syn/svec\top_tdc.bld'>Translation Report</A></TD><TD>Current</TD><TD>Thu Nov 28 15:35:53 2013</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/ohwr-fmc-tdc/hdl/syn/svec\_xmsgs/ngdbuild.xmsgs?&DataKey=Warning'>4 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/ohwr-fmc-tdc/hdl/syn/svec\top_tdc.bld'>Translation Report</A></TD><TD>Current</TD><TD>Thu Nov 28 15:35:53 2013</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/ohwr-fmc-tdc/hdl/syn/svec\top_tdc_map.mrp'>Map Report</A></TD><TD>Current</TD><TD>Thu Nov 28 15:39:22 2013</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/ohwr-fmc-tdc/hdl/syn/svec\_xmsgs/map.xmsgs?&DataKey=Warning'>1 Warning (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/ohwr-fmc-tdc/hdl/syn/svec\_xmsgs/map.xmsgs?&DataKey=Info'>279 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/ohwr-fmc-tdc/hdl/syn/svec\top_tdc.par'>Place and Route Report</A></TD><TD>Current</TD><TD>Thu Nov 28 15:41:21 2013</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/ohwr-fmc-tdc/hdl/syn/svec\_xmsgs/par.xmsgs?&DataKey=Warning'>6 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/ohwr-fmc-tdc/hdl/syn/svec\_xmsgs/par.xmsgs?&DataKey=Info'>2 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
......@@ -485,5 +484,5 @@ System Settings</A>
</TABLE>
<br><center><b>Date Generated:</b> 11/28/2013 - 15:42:49</center>
<br><center><b>Date Generated:</b> 01/27/2014 - 11:38:41</center>
</BODY></HTML>
\ No newline at end of file
......@@ -60,9 +60,9 @@ package sdb_meta_pkg is
-- git log -1 --format="%H" | cut -c1-320
syn_commit_id => x"00000000",
-- Synthesis tool name (string, 8 char)
syn_tool_name => "SynpliDP",
syn_tool_name => "ISE ",
-- Synthesis tool version (bcd encoded, 32-bit)
syn_tool_version => x"00201203",
syn_tool_version => x"00000134",
-- Synthesis date (bcd encoded, 32-bit)
syn_date => x"20140121",
-- Synthesised by (string, 15 char)
......
......@@ -167,8 +167,8 @@ entity spec_top_fmc_tdc is
pll_dac_sync_o : out std_logic; -- DAC chip select
pll_sdo_i : in std_logic; -- not used for the moment
pll_status_i : in std_logic; -- PLL Digital Lock Detect, active high
tdc_clk_p_i : in std_logic; -- 125 MHz differential clock: system clock
tdc_clk_n_i : in std_logic; -- 125 MHz differential clock: system clock
tdc_clk_125m_p_i : in std_logic; -- 125 MHz differential clock: system clock
tdc_clk_125m_n_i : in std_logic; -- 125 MHz differential clock: system clock
acam_refclk_p_i : in std_logic; -- 31.25 MHz differential clock: ACAM ref clock
acam_refclk_n_i : in std_logic; -- 31.25 MHz differential clock: ACAM ref clock
......@@ -224,15 +224,15 @@ entity spec_top_fmc_tdc is
carrier_one_wire_b : inout std_logic;
-- Carrier other signals
pcb_ver_i : in std_logic_vector(3 downto 0); -- PCB version
prsnt_m2c_n_i : in std_logic; -- Mezzanine presence (active low)
spec_led_green_o : out std_logic; -- Green LED on SPEC front pannel, PLL status
spec_led_red_o : out std_logic; -- Red LED on SPEC front pannel
spec_aux0_i : in std_logic; -- Button on SPEC board
spec_aux1_i : in std_logic; -- Button on SPEC board
spec_aux2_o : out std_logic; -- Red LED on spec board
spec_aux3_o : out std_logic; -- Red LED on spec board
spec_aux4_o : out std_logic; -- Red LED on spec board
spec_aux5_o : out std_logic); -- Red LED on spec board
prsnt_m2c_n_i : in std_logic); -- Mezzanine presence (active low)
-- spec_led_green_o : out std_logic; -- Green LED on SPEC front pannel, PLL status
-- spec_led_red_o : out std_logic; -- Red LED on SPEC front pannel
-- spec_aux0_i : in std_logic; -- Button on SPEC board
-- spec_aux1_i : in std_logic; -- Button on SPEC board
-- spec_aux2_o : out std_logic; -- Red LED on spec board
-- spec_aux3_o : out std_logic; -- Red LED on spec board
-- spec_aux4_o : out std_logic; -- Red LED on spec board
-- spec_aux5_o : out std_logic); -- Red LED on spec board
end spec_top_fmc_tdc;
......@@ -276,7 +276,7 @@ architecture rtl of spec_top_fmc_tdc is
-- VIC CONSTANT --
---------------------------------------------------------------------------------------------------
constant c_VIC_VECTOR_TABLE : t_wishbone_address_array(0 to 0) :=
(0 => x"00040000");
(0 => x"00052000");
---------------------------------------------------------------------------------------------------
......@@ -326,8 +326,8 @@ begin
(clk_20m_vcxo_i => clk_20m_vcxo_buf,
acam_refclk_p_i => acam_refclk_p_i,
acam_refclk_n_i => acam_refclk_n_i,
tdc_125m_clk_p_i => tdc_clk_p_i,
tdc_125m_clk_n_i => tdc_clk_n_i,
tdc_125m_clk_p_i => tdc_clk_125m_p_i,
tdc_125m_clk_n_i => tdc_clk_125m_n_i,
rst_n_i => rst_n_a_i,
pll_sdo_i => pll_sdo_i,
pll_status_i => pll_status_i,
......@@ -378,7 +378,7 @@ begin
---------------------------------------------------------------------------------------------------
-- GN4124 CORE --
---------------------------------------------------------------------------------------------------
cmp_GN4124: gn4124_core
cmp_gn4124_core: gn4124_core
port map
(rst_n_a_i => rst_n_a_i,
status_o => gn4124_status,
......@@ -515,9 +515,6 @@ begin
-- TDC board 1-wire UniqueID&Thermometer interface
one_wire_b => mezz_one_wire_b);
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Convert byte address into word address
tdc_core_wb_adr <= "00" & cnx_master_out(c_WB_SLAVE_TDC).adr(31 downto 2);
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Unused wishbone signals
cnx_master_in(c_WB_SLAVE_TDC).err <= '0';
......
......@@ -679,6 +679,7 @@ package tdc_core_pkg is
tstamp_wr_wb_stb_o : out std_logic;
tstamp_wr_wb_we_o : out std_logic;
tstamp_wr_p_o : out std_logic;
acam_channel_o : out std_logic_vector(2 downto 0);
wr_index_o : out std_logic_vector(31 downto 0));
----------------------------------------------------------------------
end component;
......@@ -836,6 +837,7 @@ package tdc_core_pkg is
one_hz_p_i : in std_logic;
acam_inputs_en_i : in std_logic_vector(g_width-1 downto 0);
fordebug_i : in std_logic_vector(5 downto 0);
tstamp_wr_p_i : in std_logic;
----------------------------------------------------------------------
tdc_led_status_o : out std_logic;
tdc_led_trig1_o : out std_logic;
......
--_________________________________________________________________________________________________
-- |
-- |TDC core| |
-- |
-- CERN,BE/CO-HT |
--________________________________________________________________________________________________|
---------------------------------------------------------------------------------------------------
-- |
-- top_tdc |
-- |
---------------------------------------------------------------------------------------------------
-- File top_tdc.vhd |
-- |
-- Description TDC top level |
-- |
-- Authors Gonzalo Penacoba (Gonzalo.Penacoba@cern.ch) |
-- Evangelia Gousiou (Evangelia.Gousiou@cern.ch) |
-- Date 06/2012 |
-- Version v3 |
-- Depends on |
-- |
---------------- |
-- Last changes |
-- 05/2011 v1 GP First version |
-- 06/2012 v2 EG Revamping; Comments added, signals renamed |
-- removed LEDs from top level |
-- new gnum core integrated |
-- carrier 1 wire master added |
-- mezzanine I2C master added |
-- mezzanine 1 wire master added |
-- interrupts generator added |
-- changed generation of general_rst |
-- DAC reconfiguration+needed regs added |
-- 06/2012 v3 EG Changes for v2 of TDC mezzanine |
-- Several pinout changes, |
-- acam_ref_clk LVDS instead of CMOS, |
-- no PLL_LD only PLL_STATUS |
-- 04/2013 v4 EG added SDB; fixed bugs in data_formatting; added carrier CSR information |
-- |
----------------------------------------------/!\-------------------------------------------------|
-- Note for eva: Remember the design is synthesised with Synplify Premier with DP (tdc_syn.prj) |
-- For PAR use the tdc_par_script.tcl commands in Xilinx ISE! |
---------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE |
-- ------------------------------------ |
-- This source file is free software; you can redistribute it and/or modify it under the terms of |
-- the GNU Lesser General Public License as published by the Free Software Foundation; either |
-- version 2.1 of the License, or (at your option) any later version. |
-- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; |
-- without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. |
-- See the GNU Lesser General Public License for more details. |
-- You should have received a copy of the GNU Lesser General Public License along with this |
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html |
---------------------------------------------------------------------------------------------------
--=================================================================================================
-- Libraries & Packages
--=================================================================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.tdc_core_pkg.all;
use work.gn4124_core_pkg.all;
use work.gencores_pkg.all;
use work.wishbone_pkg.all;
use work.sdb_meta_pkg.all;
library UNISIM;
use UNISIM.vcomponents.all;
--=================================================================================================
-- Entity declaration for top_tdc
--=================================================================================================
entity top_tdc is
generic
(g_span : integer :=32; -- address span in bus interfaces
g_width : integer :=32; -- data width in bus interfaces
values_for_simul : boolean :=FALSE); -- this generic is set to TRUE
-- when instantiated in a test-bench
port
(-- Signals for the GNUM interface
rst_n_a_i : in std_logic;
-- P2L Direction
p2l_clk_p_i : in std_logic; -- Receiver Source Synchronous Clock+
p2l_clk_n_i : in std_logic; -- Receiver Source Synchronous Clock-
p2l_data_i : in std_logic_vector(15 downto 0);-- Parallel receive data
p2l_dframe_i : in std_logic; -- Receive Frame
p2l_valid_i : in std_logic; -- Receive Data Valid
p2l_rdy_o : out std_logic; -- Rx Buffer Full Flag
p_wr_req_i : in std_logic_vector(1 downto 0); -- PCIe Write Request
p_wr_rdy_o : out std_logic_vector(1 downto 0); -- PCIe Write Ready
rx_error_o : out std_logic; -- Receive Error
vc_rdy_i : in std_logic_vector(1 downto 0); -- Virtual channel ready
-- L2P Direction
l2p_clk_p_o : out std_logic; -- Transmitter Source Synchronous Clock+ (freq set in GN4124 config registers)
l2p_clk_n_o : out std_logic; -- Transmitter Source Synchronous Clock- (freq set in GN4124 config registers)
l2p_data_o : out std_logic_vector(15 downto 0);-- Parallel transmit data
l2p_dframe_o : out std_logic; -- Transmit Data Frame
l2p_valid_o : out std_logic; -- Transmit Data Valid
l2p_edb_o : out std_logic; -- Packet termination and discard
l2p_rdy_i : in std_logic; -- Tx Buffer Full Flag
l_wr_rdy_i : in std_logic_vector(1 downto 0); -- Local-to-PCIe Write
p_rd_d_rdy_i : in std_logic_vector(1 downto 0); -- PCIe-to-Local Read Response Data Ready
tx_error_i : in std_logic; -- Transmit Error
irq_p_o : out std_logic; -- Interrupt request pulse to GN4124 GPIO 8
irq_aux_p_o : out std_logic; -- Interrupt request pulse to GN4124 GPIO 9, aux signal
-- Signals for the interface with the PLL AD9516 and DAC AD5662 on TDC mezzanine
pll_sclk_o : out std_logic; -- SPI clock
pll_sdi_o : out std_logic; -- data line for PLL and DAC
pll_cs_o : out std_logic; -- PLL chip select
pll_dac_sync_o : out std_logic; -- DAC chip select
pll_sdo_i : in std_logic; -- not used for the moment
pll_status_i : in std_logic; -- PLL Digital Lock Detect, active high
tdc_clk_p_i : in std_logic; -- 125 MHz differential clock: system clock
tdc_clk_n_i : in std_logic; -- 125 MHz differential clock: system clock
acam_refclk_p_i : in std_logic; -- 31.25 MHz differential clock: ACAM ref clock
acam_refclk_n_i : in std_logic; -- 31.25 MHz differential clock: ACAM ref clock
-- Signals for the timing interface with the ACAM on TDC mezzanine
start_from_fpga_o : out std_logic; -- start signal
err_flag_i : in std_logic; -- error flag
int_flag_i : in std_logic; -- interrupt flag
start_dis_o : out std_logic; -- start disable, not used
stop_dis_o : out std_logic; -- stop disable, not used
-- Signals for the data interface with the ACAM on TDC mezzanine
data_bus_io : inout std_logic_vector(27 downto 0);
address_o : out std_logic_vector(3 downto 0);
cs_n_o : out std_logic; -- chip select for ACAM
oe_n_o : out std_logic; -- output enable for ACAM
rd_n_o : out std_logic; -- read signal for ACAM
wr_n_o : out std_logic; -- write signal for ACAM
ef1_i : in std_logic; -- empty flag iFIFO1
ef2_i : in std_logic; -- empty flag iFIFO2
-- Signals for the Input Logic on TDC mezzanine
tdc_in_fpga_1_i : in std_logic; -- Ch.1 for ACAM, also received by FPGA
tdc_in_fpga_2_i : in std_logic; -- Ch.2 for ACAM, also received by FPGA
tdc_in_fpga_3_i : in std_logic; -- Ch.3 for ACAM, also received by FPGA
tdc_in_fpga_4_i : in std_logic; -- Ch.4 for ACAM, also received by FPGA
tdc_in_fpga_5_i : in std_logic; -- Ch.5 for ACAM, also received by FPGA
-- Signals for the Input Logic on TDC mezzanine
enable_inputs_o : out std_logic; -- enables all 5 inputs
term_en_1_o : out std_logic; -- Ch.1 termination enable of 50 Ohm termination
term_en_2_o : out std_logic; -- Ch.2 termination enable of 50 Ohm termination
term_en_3_o : out std_logic; -- Ch.3 termination enable of 50 Ohm termination
term_en_4_o : out std_logic; -- Ch.4 termination enable of 50 Ohm termination
term_en_5_o : out std_logic; -- Ch.5 termination enable of 50 Ohm termination
-- LEDs on TDC mezzanine
tdc_led_status_o : out std_logic; -- amber led on front pannel, division of 125 MHz tdc_clk
tdc_led_trig1_o : out std_logic; -- amber led on front pannel, Ch.1 enable
tdc_led_trig2_o : out std_logic; -- amber led on front pannel, Ch.2 enable
tdc_led_trig3_o : out std_logic; -- amber led on front pannel, Ch.3 enable
tdc_led_trig4_o : out std_logic; -- amber led on front pannel, Ch.4 enable
tdc_led_trig5_o : out std_logic; -- amber led on front pannel, Ch.5 enable
-- Clock from the SPEC carrier
spec_clk_i : in std_logic ; -- 20 MHz clock from VCXO on SPEC
-- Signal for the 1-wire interface (DS18B20 thermometer + unique ID) on SPEC carrier
carrier_one_wire_b : inout std_logic;
-- Signals for the I2C EEPROM interface on TDC mezzanine
sys_scl_b : inout std_logic; -- Mezzanine system I2C clock (EEPROM)
sys_sda_b : inout std_logic; -- Mezzanine system I2C data (EEPROM)
-- Signal for the 1-wire interface (DS18B20 thermometer + unique ID) on TDC mezzanine
mezz_one_wire_b : inout std_logic;
-- Carrier other signals
pcb_ver_i : in std_logic_vector(3 downto 0); -- PCB version
prsnt_m2c_n_i : in std_logic; -- Mezzanine presence (active low)
spec_led_green_o : out std_logic; -- Green LED on SPEC front pannel, PLL status
spec_led_red_o : out std_logic; -- Red LED on SPEC front pannel
spec_aux0_i : in std_logic; -- Button on SPEC board
spec_aux1_i : in std_logic; -- Button on SPEC board
spec_aux2_o : out std_logic; -- Red LED on spec board
spec_aux3_o : out std_logic; -- Red LED on spec board
spec_aux4_o : out std_logic; -- Red LED on spec board
spec_aux5_o : out std_logic); -- Red LED on spec board
end top_tdc;
--=================================================================================================
-- architecture declaration
--=================================================================================================
architecture rtl of top_tdc is
-- SDB header address
constant c_SDB_ADDRESS : t_wishbone_address := x"00000000";
-- Constants regarding the SDB crossbar
-- Slave port on the wishbone crossbar
constant c_NUM_WB_SLAVES : integer := 1;
constant c_MASTER_GENNUM : integer := 0;
-- Master ports on the wishbone crossbar
constant c_NUM_WB_MASTERS : integer := 6;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
constant c_SLAVE_DMA : integer := 0; -- DMA controller in the Gennum core
constant c_SLAVE_SPEC_ONEWIRE: integer := 1; -- Carrier onewire interface
constant c_SLAVE_SPEC_CSR : integer := 2; -- Info on SPEC control and status registers
constant c_SLAVE_VIC : integer := 3; -- Interrupt controller
constant c_SLAVE_DMA_EIC : integer := 4; -- DMA interrupt controller
constant c_SLAVE_TDC : integer := 5; -- TDC core configuration
constant c_FMC_TDC_SDB_BRIDGE : t_sdb_bridge := f_xwb_bridge_manual_sdb(x"0001FFFF", x"00000000");
constant c_INTERCONNECT_LAYOUT : t_sdb_record_array(8 downto 0) :=
(0 => f_sdb_embed_device (c_DMA_SDB_DEVICE, x"00010000"),
1 => f_sdb_embed_device (c_ONEWIRE_SDB_DEVICE, x"00020000"),
2 => f_sdb_embed_device (c_SPEC_CSR_SDB_DEVICE,x"00030000"),
3 => f_sdb_embed_device (c_xwb_vic_sdb, x"00040000"), -- c_xwb_vic_sdb described in the wishbone_pkg
4 => f_sdb_embed_device (c_DMA_EIC_SDB, x"00050000"),
5 => f_sdb_embed_bridge (c_FMC_TDC_SDB_BRIDGE, x"00060000"),
6 => f_sdb_embed_repo_url (c_SDB_REPO_URL),
7 => f_sdb_embed_synthesis (c_SDB_SYNTHESIS),
8 => f_sdb_embed_integration(c_SDB_INTEGRATION));
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- VIC default vector setting
constant c_VIC_VECTOR_TABLE : t_wishbone_address_array(0 to 1) :=
(0 => x"00002000",
1 => x"00001400");
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- clocks and resets
signal general_rst_n, general_rst, clk_125m : std_logic;
signal clk_20m_vcxo_buf, acam_refclk_r_edge_p : std_logic;
-- DAC configuration
signal send_dac_word_p : std_logic;
signal dac_word : std_logic_vector(23 downto 0);
-- WISHBONE GNUM DMA
signal dma_stb, dma_cyc, dma_we, dma_ack, dma_stall : std_logic;
signal dma_sel : std_logic_vector(3 downto 0);
signal dma_adr, dma_dat_rd, dma_dat_wr : std_logic_vector(31 downto 0);
-- WISHBONE from crossbar master port
signal cnx_master_out : t_wishbone_master_out_array(c_NUM_WB_MASTERS-1 downto 0);
signal cnx_master_in : t_wishbone_master_in_array(c_NUM_WB_MASTERS-1 downto 0);
-- WISHBONE to crossbar slave port
signal cnx_slave_out : t_wishbone_slave_out_array(c_NUM_WB_SLAVES-1 downto 0);
signal cnx_slave_in : t_wishbone_slave_in_array(c_NUM_WB_SLAVES-1 downto 0);
-- WISHBONE addresses
signal dma_ctrl_wb_adr, tdc_core_wb_adr, gn_wb_adr : std_logic_vector(31 downto 0);
-- Interrupts
signal irq_to_gn4124 : std_logic;
signal irq_acam_err_p, irq_tstamp_p, irq_time_p : std_logic;
signal dma_irq : std_logic_vector(1 downto 0);
-- Carrier CSR info
signal gn4124_status : std_logic_vector(31 downto 0);
-- Carrier 1-wire
signal carrier_owr_en, carrier_owr_i : std_logic_vector(c_FMC_ONE_WIRE_NB - 1 downto 0);
signal dma_eic_irq, fmc_eic_irq : std_logic;
--=================================================================================================
-- architecture begin
--=================================================================================================
begin
---------------------------------------------------------------------------------------------------
-- TDC 125MHz clk --
---------------------------------------------------------------------------------------------------
irq_aux_p_o <= '0';
svec_clk_ibuf : IBUFG
port map
(I => spec_clk_i,
O => clk_20m_vcxo_buf);
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
cmp_tdc_clks_rsts_mgment : clks_rsts_manager
generic map
(nb_of_reg => 68)
port map
(clk_20m_vcxo_i => clk_20m_vcxo_buf,
acam_refclk_p_i => acam_refclk_p_i,
acam_refclk_n_i => acam_refclk_n_i,
tdc_125m_clk_p_i => tdc_clk_p_i,
tdc_125m_clk_n_i => tdc_clk_n_i,
rst_n_i => rst_n_a_i,
pll_sdo_i => pll_sdo_i,
pll_status_i => pll_status_i,
send_dac_word_p_i => send_dac_word_p,
dac_word_i => dac_word,
acam_refclk_r_edge_p_o => acam_refclk_r_edge_p,
internal_rst_o => general_rst,
pll_cs_n_o => pll_cs_o,
pll_dac_sync_n_o => pll_dac_sync_o,
pll_sdi_o => pll_sdi_o,
pll_sclk_o => pll_sclk_o,
tdc_125m_clk_o => clk_125m,
pll_status_o => open);
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
general_rst_n <= not general_rst;
---------------------------------------------------------------------------------------------------
-- CSR WISHBONE CROSSBAR --
---------------------------------------------------------------------------------------------------
-- 0x00000 -> SDB
-- 0x01000 -> DMA configuration
-- 0x01100 -> Carrier 1-wire master
-- 0x01200 -> Carrier CSR information
-- 0x01300 -> Vector Interrupt Controller
-- 0x01400 -> DMA Embedded Interrupt Controller
-- 0x02000 -> TDC mezzanine SDB
-- 0x03000 -> TDC Mezzanine configuration (including ACAM regs)
-- 0x03100 -> TDC Mezzanine 1-wire master
-- 0x03200 -> TDC Mezzanine Embedded Interrupt Controller
-- 0x03300 -> TDC Mezzanine I2C master
cmp_sdb_crossbar : xwb_sdb_crossbar
generic map
(g_num_masters => c_NUM_WB_SLAVES,
g_num_slaves => c_NUM_WB_MASTERS,
g_registered => true,
g_wraparound => true,
g_layout => c_INTERCONNECT_LAYOUT,
g_sdb_addr => c_SDB_ADDRESS)
port map
(clk_sys_i => clk_125m,
rst_n_i => rst_n_a_i,
slave_i => cnx_slave_in,
slave_o => cnx_slave_out,
master_i => cnx_master_in,
master_o => cnx_master_out);
---------------------------------------------------------------------------------------------------
-- GNUM CORE --
---------------------------------------------------------------------------------------------------
gnum_interface_block: gn4124_core
port map
(rst_n_a_i => rst_n_a_i,
status_o => gn4124_status,
-- P2L Direction Source Sync DDR related signals
p2l_clk_p_i => p2l_clk_p_i,
p2l_clk_n_i => p2l_clk_n_i,
p2l_data_i => p2l_data_i,
p2l_dframe_i => p2l_dframe_i,
p2l_valid_i => p2l_valid_i,
-- P2L Control
p2l_rdy_o => p2l_rdy_o,
p_wr_req_i => p_wr_req_i,
p_wr_rdy_o => p_wr_rdy_o,
rx_error_o => rx_error_o,
-- L2P Direction Source Sync DDR related signals
l2p_clk_p_o => l2p_clk_p_o,
l2p_clk_n_o => l2p_clk_n_o,
l2p_data_o => l2p_data_o ,
l2p_dframe_o => l2p_dframe_o,
l2p_valid_o => l2p_valid_o,
l2p_edb_o => l2p_edb_o,
-- L2P Control
l2p_rdy_i => l2p_rdy_i,
l_wr_rdy_i => l_wr_rdy_i,
p_rd_d_rdy_i => p_rd_d_rdy_i,
tx_error_i => tx_error_i,
vc_rdy_i => vc_rdy_i,
-- Interrupt interface
dma_irq_o => dma_irq,
irq_p_i => irq_to_gn4124,
irq_p_o => irq_p_o,
-- CSR WISHBONE interface (master pipelined)
csr_clk_i => clk_125m,
csr_adr_o => gn_wb_adr,
csr_dat_o => cnx_slave_in(c_MASTER_GENNUM).dat,
csr_sel_o => cnx_slave_in(c_MASTER_GENNUM).sel,
csr_stb_o => cnx_slave_in(c_MASTER_GENNUM).stb,
csr_we_o => cnx_slave_in(c_MASTER_GENNUM).we,
csr_cyc_o => cnx_slave_in(c_MASTER_GENNUM).cyc,
csr_dat_i => cnx_slave_out(c_MASTER_GENNUM).dat,
csr_ack_i => cnx_slave_out(c_MASTER_GENNUM).ack,
csr_stall_i => cnx_slave_out(c_MASTER_GENNUM).stall,
-- DMA WISHBONE interface (pipelined)
dma_clk_i => clk_125m,
dma_adr_o => dma_adr,
dma_cyc_o => dma_cyc,
dma_dat_o => dma_dat_wr,
dma_sel_o => dma_sel,
dma_stb_o => dma_stb,
dma_we_o => dma_we,
dma_ack_i => dma_ack,
dma_dat_i => dma_dat_rd,
dma_stall_i => dma_stall,
-- DMA registers WISHBONE interface (slave classic)
dma_reg_clk_i => clk_125m,
dma_reg_adr_i => dma_ctrl_wb_adr,
dma_reg_dat_i => cnx_master_out(c_SLAVE_DMA).dat,
dma_reg_sel_i => cnx_master_out(c_SLAVE_DMA).sel,
dma_reg_stb_i => cnx_master_out(c_SLAVE_DMA).stb,
dma_reg_we_i => cnx_master_out(c_SLAVE_DMA).we,
dma_reg_cyc_i => cnx_master_out(c_SLAVE_DMA).cyc,
dma_reg_dat_o => cnx_master_in(c_SLAVE_DMA).dat,
dma_reg_ack_o => cnx_master_in(c_SLAVE_DMA).ack,
dma_reg_stall_o => cnx_master_in(c_SLAVE_DMA).stall);
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Convert 32-bit word address into byte address for crossbar
cnx_slave_in(c_MASTER_GENNUM).adr <= gn_wb_adr(29 downto 0) & "00";
-- Convert 32-bit byte address into word address for DMA controller
dma_ctrl_wb_adr <= "00" & cnx_master_out(c_SLAVE_DMA).adr(31 downto 2);
-- Unused wishbone signals
cnx_master_in(c_SLAVE_DMA).err <= '0';
cnx_master_in(c_SLAVE_DMA).rty <= '0';
cnx_master_in(c_SLAVE_DMA).int <= '0';
---------------------------------------------------------------------------------------------------
-- GN4124 DMA EIC --
cmp_dma_eic : dma_eic
port map
(rst_n_i => general_rst_n,
clk_sys_i => clk_125m,
wb_adr_i => cnx_master_out(c_SLAVE_DMA_EIC).adr(3 downto 2), -- cnx_master_out.adr is byte address
wb_dat_i => cnx_master_out(c_SLAVE_DMA_EIC).dat,
wb_dat_o => cnx_master_in(c_SLAVE_DMA_EIC).dat,
wb_cyc_i => cnx_master_out(c_SLAVE_DMA_EIC).cyc,
wb_sel_i => cnx_master_out(c_SLAVE_DMA_EIC).sel,
wb_stb_i => cnx_master_out(c_SLAVE_DMA_EIC).stb,
wb_we_i => cnx_master_out(c_SLAVE_DMA_EIC).we,
wb_ack_o => cnx_master_in(c_SLAVE_DMA_EIC).ack,
wb_stall_o => cnx_master_in(c_SLAVE_DMA_EIC).stall,
wb_int_o => dma_eic_irq,
irq_dma_done_i => dma_irq(0),
irq_dma_error_i => dma_irq(1));
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Unused wishbone signals
cnx_master_in(c_SLAVE_DMA_EIC).err <= '0';
cnx_master_in(c_SLAVE_DMA_EIC).rty <= '0';
cnx_master_in(c_SLAVE_DMA_EIC).int <= '0';
---------------------------------------------------------------------------------------------------
-- TDC BOARD --
---------------------------------------------------------------------------------------------------
cmp_tdc : fmc_tdc_mezzanine
generic map
(g_span => g_span,
g_width => g_width,
values_for_simul => FALSE)
port map
(-- clocks, resets, dac
clk_125m_i => clk_125m,
rst_i => general_rst,
acam_refclk_r_edge_p_i => acam_refclk_r_edge_p,
send_dac_word_p_o => send_dac_word_p,
dac_word_o => dac_word,
-- ACAM
start_from_fpga_o => start_from_fpga_o,
err_flag_i => err_flag_i,
int_flag_i => int_flag_i,
start_dis_o => start_dis_o,
stop_dis_o => stop_dis_o,
data_bus_io => data_bus_io,
address_o => address_o,
cs_n_o => cs_n_o,
oe_n_o => oe_n_o,
rd_n_o => rd_n_o,
wr_n_o => wr_n_o,
ef1_i => ef1_i,
ef2_i => ef2_i,
-- Input channels enable
enable_inputs_o => enable_inputs_o,
term_en_1_o => term_en_1_o,
term_en_2_o => term_en_2_o,
term_en_3_o => term_en_3_o,
term_en_4_o => term_en_4_o,
term_en_5_o => term_en_5_o,
-- Input channels to FPGA (not used)
tdc_in_fpga_1_i => tdc_in_fpga_1_i,
tdc_in_fpga_2_i => tdc_in_fpga_2_i,
tdc_in_fpga_3_i => tdc_in_fpga_3_i,
tdc_in_fpga_4_i => tdc_in_fpga_4_i,
tdc_in_fpga_5_i => tdc_in_fpga_5_i,
-- LEDs and buttons on TDC and SPEC
tdc_led_status_o => tdc_led_status_o,
tdc_led_trig1_o => open,--tdc_led_trig1_o,
tdc_led_trig2_o => tdc_led_trig2_o,
tdc_led_trig3_o => tdc_led_trig3_o,
tdc_led_trig4_o => tdc_led_trig4_o,
tdc_led_trig5_o => open,--tdc_led_trig5_o,
-- WISHBONE interface with the GNUM/VME_core
wb_tdc_csr_adr_i => cnx_master_out(c_SLAVE_TDC).adr,
wb_tdc_csr_dat_i => cnx_master_out(c_SLAVE_TDC).dat,
wb_tdc_csr_stb_i => cnx_master_out(c_SLAVE_TDC).stb,
wb_tdc_csr_we_i => cnx_master_out(c_SLAVE_TDC).we,
wb_tdc_csr_cyc_i => cnx_master_out(c_SLAVE_TDC).cyc,
wb_tdc_csr_sel_i => cnx_master_out(c_SLAVE_TDC).sel,
wb_tdc_csr_dat_o => cnx_master_in(c_SLAVE_TDC).dat,
wb_tdc_csr_ack_o => cnx_master_in(c_SLAVE_TDC).ack,
wb_tdc_csr_stall_o => cnx_master_in(c_SLAVE_TDC).stall,
-- Interrupts
wb_irq_o => fmc_eic_irq,
-- WISHBONE interface with the GNUM DMA/VME_core
-- for the retreival of the timestamps
wb_tdc_mem_adr_i => dma_adr,
wb_tdc_mem_dat_i => dma_dat_wr,
wb_tdc_mem_cyc_i => dma_cyc,
wb_tdc_mem_stb_i => dma_stb,
wb_tdc_mem_we_i => dma_we,
wb_tdc_mem_dat_o => dma_dat_rd,
wb_tdc_mem_ack_o => dma_ack,
wb_tdc_mem_stall_o => dma_stall,
-- Interrupt pulses, for debug
irq_tstamp_p_o => irq_tstamp_p,
irq_time_p_o => irq_time_p,
irq_acam_err_p_o => irq_acam_err_p,
-- TDC board EEPROM I2C EEPROM interface
sys_scl_b => sys_scl_b,
sys_sda_b => sys_sda_b,
-- 1-wire UniqueID&Thermometer interface
one_wire_b => mezz_one_wire_b);
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Convert byte address into word address
tdc_core_wb_adr <= "00" & cnx_master_out(c_SLAVE_TDC).adr(31 downto 2);
-- Unused wishbone signals
cnx_master_in(c_SLAVE_TDC).err <= '0';
cnx_master_in(c_SLAVE_TDC).rty <= '0';
cnx_master_in(c_SLAVE_TDC).int <= '0';
---------------------------------------------------------------------------------------------------
-- VIC --
---------------------------------------------------------------------------------------------------
cmp_vic : xwb_vic
generic map
(g_interface_mode => PIPELINED,
g_address_granularity => BYTE,
g_num_interrupts => 2,
g_init_vectors => c_VIC_VECTOR_TABLE)
port map
(clk_sys_i => clk_125m,
rst_n_i => general_rst_n,
slave_i => cnx_master_out(c_SLAVE_VIC),
slave_o => cnx_master_in(c_SLAVE_VIC),
irqs_i(0) => fmc_eic_irq,
irqs_i(1) => dma_eic_irq,
irq_master_o => irq_to_gn4124);
tdc_led_trig1_o <= irq_tstamp_p;
tdc_led_trig5_o <= irq_to_gn4124;
---------------------------------------------------------------------------------------------------
-- Carrier 1-wire MASTER DS18B20 (thermometer + unique ID) --
---------------------------------------------------------------------------------------------------
cmp_carrier_onewire : xwb_onewire_master
generic map
(g_interface_mode => CLASSIC,
g_address_granularity => BYTE,
g_num_ports => 1,
g_ow_btp_normal => "5.0",
g_ow_btp_overdrive => "1.0")
port map
(clk_sys_i => clk_125m,
rst_n_i => general_rst_n,
slave_i => cnx_master_out(c_SLAVE_SPEC_ONEWIRE),
slave_o => cnx_master_in(c_SLAVE_SPEC_ONEWIRE),
desc_o => open,
owr_pwren_o => open,
owr_en_o => carrier_owr_en,
owr_i => carrier_owr_i);
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
carrier_one_wire_b <= '0' when carrier_owr_en(0) = '1' else 'Z';
carrier_owr_i(0) <= carrier_one_wire_b;
---------------------------------------------------------------------------------------------------
-- Carrier CSR information --
---------------------------------------------------------------------------------------------------
-- Information on carrier type, mezzanine presence, pcb version
cmp_carrier_csr : carrier_csr
port map
(rst_n_i => general_rst_n,
wb_clk_i => clk_125m,
wb_addr_i => cnx_master_out(c_SLAVE_SPEC_CSR).adr(3 downto 2),
wb_data_i => cnx_master_out(c_SLAVE_SPEC_CSR).dat,
wb_data_o => cnx_master_in(c_SLAVE_SPEC_CSR).dat,
wb_cyc_i => cnx_master_out(c_SLAVE_SPEC_CSR).cyc,
wb_sel_i => cnx_master_out(c_SLAVE_SPEC_CSR).sel,
wb_stb_i => cnx_master_out(c_SLAVE_SPEC_CSR).stb,
wb_we_i => cnx_master_out(c_SLAVE_SPEC_CSR).we,
wb_ack_o => cnx_master_in(c_SLAVE_SPEC_CSR).ack,
carrier_csr_carrier_pcb_rev_i => pcb_ver_i,
carrier_csr_carrier_reserved_i => (others => '0'),
carrier_csr_carrier_type_i => c_CARRIER_TYPE,
carrier_csr_stat_fmc_pres_i => prsnt_m2c_n_i,
carrier_csr_stat_p2l_pll_lck_i => gn4124_status(0),
carrier_csr_stat_sys_pll_lck_i => '0',
carrier_csr_stat_ddr3_cal_done_i => '0',
carrier_csr_stat_reserved_i => (others => '0'),
carrier_csr_ctrl_led_green_o => open,
carrier_csr_ctrl_led_red_o => open,
carrier_csr_ctrl_dac_clr_n_o => open,
carrier_csr_ctrl_reserved_o => open);
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Unused wishbone signals
cnx_master_in(c_SLAVE_SPEC_CSR).err <= '0';
cnx_master_in(c_SLAVE_SPEC_CSR).rty <= '0';
cnx_master_in(c_SLAVE_SPEC_CSR).stall <= '0';
cnx_master_in(c_SLAVE_SPEC_CSR).int <= '0';
end rtl;
----------------------------------------------------------------------------------------------------
-- architecture ends
----------------------------------------------------------------------------------------------------
\ No newline at end of file
......@@ -57,7 +57,7 @@ package sdb_meta_pkg is
-- Top module name (string, 16 char)
syn_module_name => "svec_top_fmc_tdc",
-- Commit ID (hex string, 128-bit = 32 char)
-- git log -1 --format="%H" | cut -c1-320
-- git log -1 --format="%H" | cut -c1-32
syn_commit_id => x"00000000",
-- Synthesis tool name (string, 8 char)
syn_tool_name => "ISE_13_4",
......@@ -72,7 +72,7 @@ package sdb_meta_pkg is
constant c_SDB_INTEGRATION : t_sdb_integration := (
product => (
vendor_id => x"000000000000CE42", -- CERN
device_id => x"47c786a2", -- echo "spec_fmc-adc-100m14b4cha" | md5sum | cut -c1-8
device_id => x"c7b577a1", -- echo "spec_fmc-adc-100m14b4cha" | md5sum | cut -c1-8
version => x"00010001", -- bcd encoded, [31:16] = major, [15:0] = minor
date => x"20131113", -- yyyymmdd
name => "svec_fmctdc1ns5cha "));
......
......@@ -579,7 +579,6 @@ package tdc_core_pkg is
acam_stb_o : out std_logic;
acam_we_o : out std_logic;
acam_config_rdbk_o : out config_vector;
acam_status_o : out std_logic_vector(31 downto 0);
acam_ififo1_o : out std_logic_vector(31 downto 0);
acam_ififo2_o : out std_logic_vector(31 downto 0);
acam_start01_o : out std_logic_vector(31 downto 0);
......@@ -606,7 +605,6 @@ package tdc_core_pkg is
tdc_config_wb_stb_i : in std_logic;
tdc_config_wb_we_i : in std_logic;
acam_config_rdbk_i : in config_vector;
acam_status_i : in std_logic_vector(g_width-1 downto 0);
acam_ififo1_i : in std_logic_vector(g_width-1 downto 0);
acam_ififo2_i : in std_logic_vector(g_width-1 downto 0);
acam_start01_i : in std_logic_vector(g_width-1 downto 0);
......@@ -703,7 +701,6 @@ package tdc_core_pkg is
activate_acq_p_i : in std_logic;
deactivate_acq_p_i : in std_logic;
tstamp_wr_p_i : in std_logic;
one_hz_p_i : in std_logic;
----------------------------------------------------------------------
irq_tstamp_p_o : out std_logic;
irq_time_p_o : out std_logic;
......
......@@ -13,16 +13,19 @@
-- File top_tdc.vhd |
-- |
-- Description TDC top level for SVEC. Figure 1 shows the architecture of this unit. |
-- o Two TDC mezzanine cores are instanciated, for the boards on FMC1 and FMC2 |
-- o The IRQ controller is managing the interrupts coming from both TDC cores |
-- o The carrier_csr module provides general information on the SVEC PCB version, |
-- PLLs locking state etc |
-- o The 1-Wire core provides communication with the SVEC Thermometer&UniqueID chip|
-- |
-- For the communication with the VME bus, the ohwr.org vme64x_core is instantiated. |
-- |
-- Two TDC mezzanine cores are instanciated, for the boards on FMC1 and FMC2. |
-- The IRQ controller is managing the interrupts coming from both TDC cores. |
-- The carrier_csr module provides general information on the SVEC PCB version, |
-- PLLs locking state etc. |
-- The 1-Wire core provides communication with the SVEC Thermometer&UniqueID chip. |
-- All these cores communicate with the VME core through the SDB crossbar. The SDB |
-- crossbar is responsible for managing the acess to the VME core. |
-- crossbar is responsible for managing the access to the VME core. |
-- |
-- The speed for the VME core is 62.5MHz. The TDC mezzanine cores however operate at |
-- 125MHz. The crossing from the 62.5MHz world to the 125MHz world takes place |
-- 125MHz. The crossing from the 62.5MHz world to the 125MHz world takes place |
-- through dedicated clock_crossing cores. |
-- |
-- The 62.5MHz clock comes from an internal Xilinx FPGA PLL, using the 20MHz VCXO of |
......@@ -291,9 +294,9 @@ architecture rtl of top_tdc is
constant c_CARRIER_TYPE : std_logic_vector(15 downto 0) := x"0002";
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Constants regarding the SDB crossbar
constant c_NUM_WB_SLAVES : integer := 1;
constant c_NUM_WB_MASTERS : integer := 5;
constant c_MASTER_VME : integer := 0;
constant c_NUM_WB_SLAVES : integer := 1;
constant c_NUM_WB_MASTERS : integer := 5;
constant c_MASTER_VME : integer := 0;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
constant c_SLAVE_SVEC_1W : integer := 0; -- SVEC 1wire interface
constant c_SLAVE_SVEC_INFO : integer := 1; -- SVEC control and status registers
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment