Commit e4bc3c96 authored by penacoba's avatar penacoba

Udpated simulations


git-svn-id: http://svn.ohwr.org/fmc-tdc@44 85dfdc96-de2c-444c-878d-45b388be74a9
parent 4a2b9391
1100 us,5,505 ns
1300 us,1,5 us 1300 us,1,5 us
6 us,2,505 ns 6 us,2,505 ns
162 ps,3,505 ns 162 ps,3,505 ns
......
This source diff could not be displayed because it is too large. You can view the blob instead.
...@@ -22,13 +22,14 @@ ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work wor ...@@ -22,13 +22,14 @@ ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work wor
ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/countdown_counter.vhd ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/countdown_counter.vhd
ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/clk_rst_managr.vhd ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/clk_rst_managr.vhd
ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/one_hz_gen.vhd ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/one_hz_gen.vhd
ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/start_nb_offset_gen.vhd ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/start_retrigger_control.vhd
ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/data_formatting.vhd ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/data_formatting.vhd
ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/data_engine.vhd
ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/acam_timecontrol_interface.vhd ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/acam_timecontrol_interface.vhd
ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/acam_databus_interface.vhd ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/acam_databus_interface.vhd
#ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/top_tdc.vhd ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/top_tdc.vhd
ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/test_tdc_acam/top_test_acam.vhd #ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/test_tdc_acam/top_test_acam.vhd
#ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/test_tdc_pll/top_test_pll.vhd #ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/rtl/test_tdc_pll/top_test_pll.vhd
ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/test_bench/gnum_model/util.vhd ncvhdl -nocopyright -nolog -messages -linedebug -v93 -cdslib ./cds.lib -work worklib ../src/test_bench/gnum_model/util.vhd
......
probe -create -shm -waveform :dut:acam_refclk
probe -create -shm -waveform :spec_clk_i probe -create -shm -waveform :spec_clk_i
probe -create -shm -waveform :dut:por_reset
probe -create -shm -waveform :dut:internal_reset
probe -create -shm -waveform :dut:clk probe -create -shm -waveform :dut:clk
probe -create -shm -waveform :dut:acam_refclk
probe -create -shm -waveform :dut:gnum_reset probe -create -shm -waveform :dut:gnum_reset
probe -create -shm -waveform :dut:general_reset probe -create -shm -waveform :dut:general_reset
probe -create -shm -waveform :dut:clks_rsts_mgment:pll_sclk probe -create -shm -waveform :dut:clks_rsts_mgment:pll_sclk_o
probe -create -shm -waveform :dut:clks_rsts_mgment:pll_sdi_o probe -create -shm -waveform :dut:clks_rsts_mgment:pll_sdi_o
probe -create -shm -waveform :dut:clks_rsts_mgment:cs probe -create -shm -waveform :dut:clks_rsts_mgment:pll_cs_o
probe -create -shm -waveform :dut:clks_rsts_mgment:bit_index probe -create -shm -waveform :dut:clks_rsts_mgment:bit_index
probe -create -shm -waveform :dut:clks_rsts_mgment:byte_index probe -create -shm -waveform :dut:clks_rsts_mgment:byte_index
probe -create -shm -waveform :dut:clks_rsts_mgment:bit_being_sent #probe -create -shm -waveform :dut:clks_rsts_mgment:bit_being_sent
probe -create -shm -waveform :dut:clks_rsts_mgment:byte_being_sent
probe -create -shm -waveform :dut:clks_rsts_mgment:word_being_sent probe -create -shm -waveform :dut:clks_rsts_mgment:word_being_sent
probe -create -shm -waveform :dut:clks_rsts_mgment:pll_init_st probe -create -shm -waveform :dut:clks_rsts_mgment:pll_init_st
#probe -create -shm -waveform :dut:clks_rsts_mgment:nxt_pll_init_st
probe -create -shm -waveform :dut:clks_rsts_mgment:gral_incr probe -create -shm -waveform :dut:clks_rsts_mgment:gral_incr
probe -create -shm -waveform :dut:clks_rsts_mgment:inv_reset probe -create -shm -waveform :dut:clks_rsts_mgment:general_poreset:current_value
probe -create -shm -waveform :dut:clks_rsts_mgment:general_power_on_reset:current_value
probe -create -shm -waveform :dut:clks_rsts_mgment:nxt_pll_init_st
probe -create -shm -waveform :spec_led_green probe -create -shm -waveform :spec_led_green
probe -create -shm -waveform :spec_led_red probe -create -shm -waveform :spec_led_red
probe -create -shm -waveform :tdc_led_status probe -create -shm -waveform :tdc_led_status
probe -create -shm -waveform :dut:tdc_led_count_done #probe -create -shm -waveform :dut:tdc_led_count_done
probe -create -shm -waveform :dut:spec_led_count_done #probe -create -shm -waveform :dut:spec_led_count_done
#probe -create -shm -waveform :dut:one_second_block:refclk_edge
#probe -create -shm -waveform :dut:one_second_block:onesec_counter_en
#probe -create -shm -waveform :dut:one_second_block:clock_periods_counter:current_value
#probe -create -shm -waveform :dut:one_second_block:total_delay
#probe -create -shm -waveform :dut:one_second_block:pulse_delayer_counter:current_value
#probe -create -shm -waveform :dut:one_second_block:one_hz_p_pre
#probe -create -shm -waveform :dut:one_second_block:one_hz_p_post
probe -create -shm -waveform :dut:one_second_block:one_hz_p_o
probe -create -shm -waveform :dut:start_trig
probe -create -shm -waveform :dut:acam_timing_block:start_trig_edge
probe -create -shm -waveform :dut:acam_timing_block:waitingfor_refclk
probe -create -shm -waveform :dut:acam_timing_block:refclk_edge
probe -create -shm -waveform :dut:acam_timing_block:window_prepulse
probe -create -shm -waveform :dut:acam_timing_block:counter_reset
probe -create -shm -waveform :dut:acam_timing_block:window_active
probe -create -shm -waveform :dut:acam_timing_block:counter_value
probe -create -shm -waveform :start_dis_o
probe -create -shm -waveform :start_from_fpga_o
#probe -create -shm -waveform :stop_dis_o
probe -create -shm -waveform :acam:timing_block:start01
probe -create -shm -waveform :acam:timing_block:start_retrig_p
probe -create -shm -waveform :acam:timing_block:start_retrig_nb
probe -create -shm -waveform :dut:acam_timing_block:int_flag_i
probe -create -shm -waveform :dut:start_retrigger_block:acam_fall_intflag_p_i
probe -create -shm -waveform :dut:start_retrigger_block:acam_rise_intflag_p_i
probe -create -shm -waveform :dut:start_retrigger_block:acam_halfcounter_gone
probe -create -shm -waveform :dut:start_retrigger_block:add_offset
probe -create -shm -waveform :dut:start_retrigger_block:start_nb_offset_o
probe -create -shm -waveform :tstop1
probe -create -shm -waveform :tstop2
probe -create -shm -waveform :tstop3
probe -create -shm -waveform :tstop4
probe -create -shm -waveform :tstop5
#probe -create -shm -waveform :RSTINn #probe -create -shm -waveform :RSTINn
#probe -create -shm -waveform :RSTOUT18n #probe -create -shm -waveform :RSTOUT18n
...@@ -65,6 +99,8 @@ probe -create -shm -waveform :TX_ERROR ...@@ -65,6 +99,8 @@ probe -create -shm -waveform :TX_ERROR
#probe -create -shm -waveform :dut:acm_ack #probe -create -shm -waveform :dut:acm_ack
#probe -create -shm -waveform :dut:acm_dat_r #probe -create -shm -waveform :dut:acm_dat_r
probe -create -shm -waveform :dut:clk
probe -create -shm -waveform :dut:csr_clk probe -create -shm -waveform :dut:csr_clk
probe -create -shm -waveform :dut:csr_cyc probe -create -shm -waveform :dut:csr_cyc
probe -create -shm -waveform :dut:csr_sel probe -create -shm -waveform :dut:csr_sel
...@@ -78,44 +114,22 @@ probe -create -shm -waveform :dut:csr_we ...@@ -78,44 +114,22 @@ probe -create -shm -waveform :dut:csr_we
probe -create -shm -waveform :dut:acam_data_block:acam_data_st probe -create -shm -waveform :dut:acam_data_block:acam_data_st
probe -create -shm -waveform :dut:acam_data_block:nxt_acam_data_st probe -create -shm -waveform :dut:acam_data_block:nxt_acam_data_st
probe -create -shm -waveform :dut:ef1_i
probe -create -shm -waveform :dut:ef2_i
probe -create -shm -waveform :dut:lf1_i
probe -create -shm -waveform :dut:lf2_i
probe -create -shm -waveform :dut:data_bus_io probe -create -shm -waveform :dut:data_bus_io
probe -create -shm -waveform :dut:address_o probe -create -shm -waveform :dut:address_o
probe -create -shm -waveform :dut:cs_n_o probe -create -shm -waveform :dut:cs_n_o
probe -create -shm -waveform :dut:oe_n_o probe -create -shm -waveform :dut:oe_n_o
probe -create -shm -waveform :dut:rd_n_o probe -create -shm -waveform :dut:rd_n_o
waveform format -using "Waveform 1" ":dut:rd_n_o" -color "red"
probe -create -shm -waveform :dut:wr_n_o probe -create -shm -waveform :dut:wr_n_o
waveform format -using "Waveform 1" ":dut:wr_n_o" -color "magenta"
#probe -create -shm -waveform :acam:data_block:wr_falling_time #probe -create -shm -waveform :acam:data_block:wr_falling_time
#probe -create -shm -waveform :acam:data_block:wr_rising_time #probe -create -shm -waveform :acam:data_block:wr_rising_time
#probe -create -shm -waveform :dut:one_second_block:acam_refclk_i
#probe -create -shm -waveform :dut:one_second_block:s_acam_refclk
#probe -create -shm -waveform :dut:one_second_block:refclk_edge
#probe -create -shm -waveform :dut:one_second_block:onesec_counter_en
#probe -create -shm -waveform :dut:one_second_block:total_delay
#probe -create -shm -waveform :dut:one_second_block:one_hz_p_pre
#probe -create -shm -waveform :dut:one_second_block:one_hz_p_post
probe -create -shm -waveform :dut:one_second_block:one_hz_p_o
#probe -create -shm -waveform :dut:acam_timing_block:counter_reset
#probe -create -shm -waveform :dut:acam_timing_block:window_inverted
#probe -create -shm -waveform :dut:acam_timing_block:start_window
#probe -create -shm -waveform :dut:acam_timing_block:start_dis_o
#probe -create -shm -waveform :dut:acam_timing_block:int_flag_i
#probe -create -shm -waveform :dut:start_nb_block:acam_irflag_p_i
#probe -create -shm -waveform :dut:start_nb_block:start_nb_offset_o
#probe -create -shm -waveform :start_dis_o
probe -create -shm -waveform :start_from_fpga_o
probe -create -shm -waveform :acam_refclk_i
#probe -create -shm -waveform :stop_dis_o
probe -create -shm -waveform :tstop1
probe -create -shm -waveform :tstop2
probe -create -shm -waveform :tstop3
probe -create -shm -waveform :tstop4
probe -create -shm -waveform :tstop5
#probe -create -shm -waveform :pulses_generator:pulse_channel #probe -create -shm -waveform :pulses_generator:pulse_channel
#probe -create -shm -waveform :pulses_generator:sequence:pulse_ch #probe -create -shm -waveform :pulses_generator:sequence:pulse_ch
...@@ -159,10 +173,6 @@ probe -create -shm -waveform :tstop5 ...@@ -159,10 +173,6 @@ probe -create -shm -waveform :tstop5
#probe -create -shm -waveform :acam:timing_block:stop5_trig #probe -create -shm -waveform :acam:timing_block:stop5_trig
#probe -create -shm -waveform :acam:timing_block:stop5 #probe -create -shm -waveform :acam:timing_block:stop5
probe -create -shm -waveform :acam:timing_block:start01
probe -create -shm -waveform :acam:timing_block:start_retrig_p
probe -create -shm -waveform :acam:timing_block:start_retrig_nb
#probe -create -shm -waveform :acam:timing_block:int_flag_o
#probe -create -shm -waveform :acam:timing_block:start_nb1 #probe -create -shm -waveform :acam:timing_block:start_nb1
#probe -create -shm -waveform :acam:timing_block:start_nb2 #probe -create -shm -waveform :acam:timing_block:start_nb2
...@@ -171,6 +181,6 @@ probe -create -shm -waveform :acam:timing_block:start_retrig_nb ...@@ -171,6 +181,6 @@ probe -create -shm -waveform :acam:timing_block:start_retrig_nb
#probe -create -shm -waveform :acam:timing_block:start_nb5 #probe -create -shm -waveform :acam:timing_block:start_nb5
set intovf_severity_level warning #set intovf_severity_level warning
run 2 ms run 2 ms
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment