Commit f33ef0bc authored by penacoba's avatar penacoba

New versions of the core from Coregen to instantiate the RAM block for the Circular Buffer


git-svn-id: http://svn.ohwr.org/fmc-tdc@57 85dfdc96-de2c-444c-878d-45b388be74a9
parent 07d08c43
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
<!-- -->
<!-- For tool use only. Do not edit. -->
<!-- -->
<!-- ProjectNavigator created generated project file. -->
<!-- For use in tracking generated file and other information -->
<!-- allowing preservation of process status. -->
<!-- -->
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="blk_mem_circ_buff_v6_4.xise"/>
<files xmlns="http://www.xilinx.com/XMLSchema">
<file xil_pn:fileType="FILE_VHO" xil_pn:name="blk_mem_circ_buff_v6_4.vho" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_USERDOC" xil_pn:name="blk_mem_gen_v6_2_readme.txt" xil_pn:origination="imported"/>
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
</generated_project>
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$3ge40<,[o}e~g`n;"2*731&=$:,)<4-0;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?12924?OIX\^1mij}b);94,7238836D@_UU8gmkg/9;=1<$?8;00;>JSSX\^1hb{{a)313?6.9=1:87GAPTV9EABUI!;86='>4:37>LHW]]0JHI\M(0194,77380BB][[:vgb,5<7!8:0=7GAPTV9s`d/83:"=?5<2;KMTPR=L@K#?<4?)00877<NFY__6IGM(2394,743:81EC^ZT;fjjg.493:"=>5<2;MVPUSS2MEJ$>?50(30?64=G\^[YY4KOC*05?6.9<18>7AZTQWW>air|k"8=7>&1097>LHW]]0OE]O'3;2*54=32@D[YY4KIQ@+7?6.991?6D@_UU8TAD.429#:<6:5IORVP?QBJ!91<$?=;58LQQVR\3ND\L&<:1+26>2=G\^[YY4KOQ@+7?6.n2<x`u::tged0=2*:88047GAPTV9eabui!=1<$64AEFQE-6.02KOH_O'1(;8EABUI!;;%45NDEPB,47.12KOH_O'13+:?DBCZH":?$l4AEFQE-7429#37LJKR@*1-==FLMXJ$>'7;@FGVD.3!11JHI\N(4+;?DBCZH"=%55NDEPB,2/?3HNO^L&7)99B@ATF 0#37LJKRC*3-==FLMXI$<'6;@FGVG.68 30MIJ]B)32-<=FLMXI$<<&9:CG@WD/9:#i7LJKRC*27?6.02KOH_L'2(:8EABUJ!9"46OKDS@+0,><IMNYN%;&8:CG@WD/> 20MIJ]B)5*<>GCL[H#4$64AEFQF-?.;2KG@55MUR]JJCI53JO:>6MGEBI\HLEBFZOTXT^J4:AOO50<KEA:4=84CMI1353<KEA9T55LLJ0[5=623JF@5=:4CMIB1>EKCH;<7NBDA0F13>EKCH;O8:5LLJC2@=2<KEAI96MCKC36?FJLK8?0OAEK149@HNBQk2IGGIXPDHTJ@@3<KEAMT55LLJD[5=6>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EEa8@DRFW^COXEQNc:FBPDYPAM^CSO84DHC+4,0<L@K#=$94DHC+55/03MCJ$<?&7:FJE-75!>1OEL&>3(58@LG/9=#<7IGN(07*3>BNI!;=%:5KI@*23,1<L@K#=5'8;EKB,4?.>2NBM%<&7:FJE-47!>1OEL&=1(58@LG/:;#<7IGN(31*3>BNI!8?%:5KI@*11,1<L@K#>;'8;EKB,71.?2NBM%<7)69GMD.51 <0HDO'3(58@LG/;9#<7IGN(23*=>BNI!9:6='9;EKB,1/13MCJ$8'9;EKB,3/13MCJ$:'9;EKB,=/13MCJ$4'9;EKA,5/13MCI$<'8;EKA,46.?2NBN%?>)69GMG.6: =0HDL'12+4?AOE 8>";6JFB)36-2=CAK"::$94DH@+52/03MCI$<6&7:FJF-7>!?1OEO&=)69GMG.58 =0HDL'20+4?AOE ;8";6JFB)00-2=CAK"98$94DH@+60/03MCI$?8&7:FJF-40!>1OEO&=8(58@LD/:0#=7IGM(2+4?AOE ::";6JFB)12-<=CAK"8=7>&6:FJF-2.>2NBN%;&6:FJF-0.>2NBN%9&6:FJF->.>2NBN%7&7:FJTD.7!>1OE]O'1(58@LVF ;#<7IG_A)1*=>BNXH"86='8;EKSF-6.?2NB\O&>)69GMUD/: =0HD^M(2+:?AOWJ!91<$84DNC+4,0<LFK#=$94DNC+55/03MEJ$<?&7:FLE-75!>1OCL&>3(58@JG/9=#<7IAN(07*3>BHI!;=%:5KO@*23,1<LFK#=5'8;EMB,4?.>2NDM%<&7:FLE-47!>1OCL&=1(58@JG/:;#<7IAN(31*3>BHI!8?%:5KO@*11,1<LFK#>;'8;EMB,71.?2NDM%<7)69GKD.51 <0HBO'3(58@JG/;9#<7IAN(23*=>BHI!9:6='9;EMB,1/13MEJ$8'9;EMB,3/13MEJ$:'9;EMB,=/13MEJ$4'8;EMB[WC@>2NDN%>&6:FLF-7.?2NDN%??)69GKG.69 =0HBL'13+4?AIE 89";6J@B)37-2=CGK":9$94DN@+53/03MEI$<9&7:FLF-7?!>1OCO&>9(48@JD/: =0HBL'21+4?AIE ;;";6J@B)01-2=CGK"9?$94DN@+61/03MEI$?;&7:FLF-41!>1OCO&=7(58@JD/:1#<7IAM(3;*2>BHJ!9";6J@B)13-2=CGK"8=$74DN@+74<7!?1OCO&;)79GKG.2!?1OCO&9)79GKG.0!?1OCO&7)79GKG.>!>1OCOQ]EF58@JVF 9#<7IA_A)3*3>BHXH"9%:5KOQC+7,?<LFZJ$>4?)69GKUD/8 =0HB^M(0+4?AIWJ!8";6J@PC*0-<=CGYH#?7>&3:GME6=BFKh0ICQ]SUPBIZGe3LDT^^Z]AL]A1>@FDZO:7J=4GOF;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__154?LHN\V:;;6GAIU]352=NF@^T<?94IOKW[5503@DBXR>;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D1<AGC_S=L8;HLJPZ6D?2CEEYQ?D69JJLRX8L=0ECG[_1D4?LHN\V;;;6GAIU]252=NF@^T=?94IOKW[4503@DBXR?;7:KMMQY6=>1BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1<AGC_S<L8;HLJPZ7D?2CEEYQ>D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D1<AGC_S?L8;HLJPZ4D?2CEEYQ=D69JJLRX:L=0ECG[_3D4?LHN\V9;;6GAIU]052=NF@^T??94IOKW[6503@DBXR=;7:KMMQY4=>1BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1<AGC_S>L8;HLJPZ5D?2CEEYQ<D69JJLRX;L=0ECG[_2D5?LHN\VK=7D@FT^@:?LHN\VLB@H84LNCGAA0<DFI@II94LNEJGDJd3DkacXjrrkljf=JageyZh||inl1?K703GO_[B\D4:LLJ@><G'==tiQC4:RBVQg<X@DTNX]FDY`8TLHXJ\YEM@K9;QQGKKCf3[KFN<8MWDP5?WC@KLK=7_KHCD@7?WUSI=1Y_YL8;SQWP\VBm2XXXR^]INPJP\YFm2XXXR^]INPJP\YE981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PN=>5\IL]@KIJN[@EESNFJCJc8WLKXLL\BOH84SNWQG@><[YKYXL@97:QQRDJXI>1X^[OC_C48WQGS]Z>0_T@L4:VZT@143\:$t~zPbmi\i`kXoldn~lz`r.emeqoqmkgl"co{iwg\fimXelgTkh` v`nj`+et|{%ym`b`oqY3Y+tfe'x$;Qaohljp+tfe&^YYHQKP/RQMH7?&{kf;>5Z0.zppZdkcVgnaRijndpbpjt(ogke{kmmf,meqoqmVhggRcjm^efj*pfd`n%o~z}/scnhjiwS8W%~lc!r.q5[kinf`~%~lc TSWF[AV)X[CF=5 }al50?P6(pz~TnaePmdo\c`hbzh~d~"iaaukuagk`&gke{kPbmi\i`kXold$zlbfd/appw)uidfdc}U=]/pbi+t({?Uecd`ft/pbi*RU]LUO\#^]IL3;*wgj?:1^<"v|t^`ooZkbeVmnbh|ntnp,ckgsaoiaj aaukuaZdkcVgnaRijn.tbhlb)kz~y#oblnms_6[)zhg%~"}9_omjjlr)zhg$X_[J_ER-TWOJ91$ym`m4URGQ[SOTAKFN56XFEV]W]UC13^OJ$='9;VGB,4/13^OJ$?'9;VGB,6/?3^OJ$>4?)79TAG.7!?1\IO&>)79TAG.5!?1\IO&<)99TAG.429#i7Z\FTD]EMWUSk2]YEYKPMNFF[De<_[C_IRC@DD]A5a=_AECET VKB!2-5%US]K*;"<.NSBKJ2>^T\ECI;6V\T^EM@g=_WJEYIRGAFN48\adXAml0TifPPsknR`ttafd:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n29[wq5<Qly37ljkr`*3-==flmxj$<'7;`fgvd.5!11jhi|n(2+;?dbczh"?%55ndepb,0/?3hno~l&9)99b`atf >#j7ljkr`*4>5/?3hno~o&?)99b`ate 8#37ljkrc*1-==flmxi$>'7;`fgvg.3!11jhi|m(4+;?dbczk"=%55ndepa,2/?3hno~o&7)99b`ate 0#j7ljkrc*:>5/6>2hggRcjm^ajvfYe}olTz;Q;4e58fimXelgTod|l_cwebZp1W=&i`fQbel]dakYq>V8'wnQndepb[roc|a73 nQndepa[roc|a7:<!mPamelvlroe4;'oRowi^kg[roc|a7? nQnxh]phdpbW}s{i0>#c^c{mZr~xl7: nQmyug\wl|b50&hSnabmnl\gim:8%iThhhnumv\`drf59&hSig|acnf[rgufVhczRm`lm?3(fYcazki`hQxasl\fmpXzhdli0>#c^goegiui}cdbRjfr<-bbv)dm{d%oe!jnf-f`d)dlzU~h||/fdfvkgil&zycgkltr-w`f)tzj%b|Rm`rdq,i`kXkfxn#yc/^ad+)eXnhgb`t3|p`pwek0+kVbjRowi^kg95*dWakxSh`n=0.`[mgtWldi1<"l_icp[lhmmj~n~3?,b]kevYjmdUdyy~zt^pfcvYf59&hSeo|_lgn[jssx|~T~hi|_c?2(fYoizUfyuQ`uurvpZtbozUj1="l_icp[hsWf|xzPrdep[g;7$jUcm~Q}efafe86+kVbjR|jgbga95*dWakxS}{a<2/gZnf{Vxxxo3?,b]kevYtgo~nonQfnqww[wc`{Vk6<!mPh`q\wj`smjiTcxzuu]qabuXj4:'oRgaiu]emicXfhgn1caPcng\bljbWeejhhj#c^kmmqgX~hf6<!mPiokwfZpfd4:'oRgatdpeefcX|pzn1="l_mmb`Zoia}Umeak20-a\i`kX|pzn1?"l_lw{[uowmeceiR}{afgp95*dWyxbaRzvpd?2(fYumhnThh~{h^c>600+kVxnmiQkeqvk[g;68;>'oR|jae]tmaroWh7:>5"l_sgb`Zqnl}bTn0==,b]qwqYwz`eyeywPa<AF(fYu{}U{~da}iu{\f8EB$jUyyQ{yqg>W\HD$jUyy|nm^c>4)eXzz~ym`Qm=1.`[vojWjeg`d}foo]`l`el5HFG nQzsd]a}qcXlk6<!mPurg\f|rbW~oi1="l_tqf[acai|fSio{a<2/gZstmVoho0>#c^wpaZuhn}oho0>#c^ufeZqnl}b6=!mPwd`\slbs`4;'oRy}iug\``vs`Vk6>88#c^uqmqcXllzdRl21107(fYpz`~nS`ake^c>SWOSMVLB^^Z#c^uqmqcXefnnSo3XRHVF[COU[]&hSz|ftd]tmaroWh7:>5"l_vpjp`Ypam~cSo3<2-a\|acqajomm`gcy<qsewrff?w9m6lck^ofiZenzjUiykhPv7]7(gjlWdofSjka_w4\6)}z911i`fQbel]dakY`mgoyenQlsup2<>dkcVgnaRijn^rqmhYpam~cS<?7;cnh[hcjWnoeS}|fm^uj`qnX:820naePmdo\c`hXx{cfSzgkti]05==edbUfi`Qheo]svlkX`ndR:>d:`ooZkbeVmnbR~}il]tvdvwm{Ux:R?>d:`ooZkbeVmnbR~}il]tvdvwm{Ux:R<>d:`ooZkbeVmnbR~}il]tvdvwm{Ux:R=>d:`ooZkbeVmnbR~}il]tvdvwm{Ux:R:i;cnh[hcjWnoeSya119ahnYjmdUlicQy6^01g>dkcVgnaRijn^t5[7*'P`fbbu.LOSG#C`hbzh~d~-?<.237?gjlWdofSjka_w4\6Z~t|890naePmdo\mkvr|Vhgcne>4:`ooZkbeVe~x}{{_cnlgn2<keaj86mckc58`lhf 9#<7igaa)3*<>bnfh":<$74dhlb,467!01oeco'113*=>bnfh":<?'6;ekme-77; 30hd`n(027-<=cagk#==;&9:fjjd.68?#27igaa)333,?<l`dj$<>7)89gmkg/993"46jfn`*25,?<l`dj$<??)89gmkg/98;"56jfn`*257/>3mcem%?>3(;8`lhf 8;?%45kioc+543.12nbbl&>17+:?aoii!;:;$74dhlb,47?!01oeco'10;*<>bnfh":>$74dhlb,447!01oeco'133*=>bnfh":>?'6;ekme-75; 30hd`n(007-<=cagk#=?;&9:fjjd.6:?#27igaa)313,d<l`dj$<<8:1+;?aoii!;8%55kioc+51/?3mcem%?:)99gmkg/9?#37igaa)34-==cagk#=5'7;ekme-7>!>1oeco'2(:8`lhf ;:"46jfn`*15,><l`dj$?<&8:fjjd.5; 20hd`n(36*<>bnfh"99$64dhlb,70.02nbbl&=7(:8`lhf ;2"46jfn`*1=,1<l`dj$>'7;ekme-57!11oeco'30+;?aoii!99%55kioc+76/?3mcem%=;)99gmkg/;<#37igaa)15-==cagk#?:'7;ekme-5?!11oeco'38+4?aoii!>"46jfn`*74,><l`dj$9?&8:fjjd.3: 20hd`n(51*<>bnfh"?8$64dhlb,13.02nbbl&;6(:8`lhf =="46jfn`*7<,><l`dj$97&7:fjjd.2!11oeco'51+;?aoii!?:%55kioc+17/?3mcem%;<)99gmkg/==#37igaa)76-==cagk#9;'7;ekme-30!11oeco'59+;?aoii!?2%:5kioc+2,><l`dj$;>&8:fjjd.19 20hd`n(70*<>bnfh"=?$64dhlb,32.02nbbl&95(:8`lhf ?<"46jfn`*53,><l`dj$;6&8:fjjd.11 =0hd`n(6+;?aoii!=;%55kioc+34/?3mcem%9=)99gmkg/?:#37igaa)57-==cagk#;8'7;ekme-11!11oeco'76+;?aoii!=3%55kioc+3</03mcem%6&8:fjjd.?8 20hd`n(93*<>bnfh"3>$64dhlb,=5.02nbbl&74(:8`lhf 1?"46jfn`*;2,><l`dj$59&8:fjjd.?0 20hd`n(9;*3>bnfh"2%55kioc+=5/?3mcem%7>)99gmkg/1;#37igaa);0-==cagk#59'7;ekme-?2!11oeco'97+;?aoii!3<%55kioc+==/?3mcem%76)69gmkd/8 =0hd`m(0+;?aoij!;;%55kio`+54/?3mcen%?=)99gmkd/9:#37igab)37-==cagh#=8'7;ekmf-71!11oecl'16+;?aoij!;3%55kio`+5</03mcen%<&8:fjjg.58 20hd`m(33*<>bnfk"9>$64dhla,75.02nbbo&=4(:8`lhe ;?"46jfnc*12,><l`di$?9&8:fjjg.50 20hd`m(3;*3>bnfk"8%55kio`+75/?3mcen%=>)`9gmkd/;80;%:5kio`+0,1<l`di$8'8;ekmf-0.?2nbbo&8)69gmkd/0 =0hd`m(8+;?air|h";%55kotvb,4/>3me~xl&>0(c8`jssi!;;<$o4dnwwe-779 k0hb{{a)336,g<lfm%??3(c8`jssi!;;8$o4dnwwe-77= k0hb{{a)332,g<lfm%??7(c8`jssi!;;4$o4dnwwe-771 30hb{{a)32-d=cg|~j$<??)`9gkprf 8;:%l5kotvb,475!h1ocxzn(030-d=cg|~j$<?;)`9gkprf 8;>%l5kotvb,471!h1ocxzn(034-d=cg|~j$<?7)`9gkprf 8;2%45kotvb,44.i2ndyyo'132*e>bh}}k#=??&a:flqqg/9;8"m6j`uuc+575.i2ndyyo'136*e>bh}}k#=?;&a:flqqg/9;<"m6j`uuc+571.k2ndyyo'13594,?<lfm%?<)89gkprf 8>"56j`uuc+50/>3me~xl&>6(;8`jssi!;<%45kotvb,4>.12ndyyo'18+;?air|h"9%45kotvb,76.12ndyyo'20+:?air|h"9>$74dnwwe-44!01ocxzn(36*=>bh}}k#>8'6;emvpd.5> 30hb{{a)04-<=cg|~j$?6&9:flqqg/:0#37iazt`*0-<=cg|~j$>>&9:flqqg/;8#27iazt`*06,?<lfm%=<)89gkprf :>"56j`uuc+70/>3me~xl&<6(;8`jssi!9<%45kotvb,6>.12ndyyo'38+;?air|h"?%45kotvb,16.12ndyyo'40+:?air|h"?>$74dnwwe-24!01ocxzn(56*=>bh}}k#88'6;emvpd.3> 30hb{{a)64-<=cg|~j$96&9:flqqg/<0#37iazt`*6-<=cg|~j$8>&9:flqqg/=8#27iazt`*66,?<lfm%;<)89gkprf <>"56j`uuc+10/>3me~xl&:6(;8`jssi!?<%45kotvb,0>.12ndyyo'58+;?air|h"=%45kotvb,36.12ndyyo'60+:?air|h"=>$74dnwwe-04!01ocxzn(76*=>bh}}k#:8'6;emvpd.1> 30hb{{a)44-<=cg|~j$;6&9:flqqg/>0#37iazt`*4-<=cg|~j$:>&9:flqqg/?8#27iazt`*46,?<lfm%9<)89gkprf >>"56j`uuc+30/>3me~xl&86(;8`jssi!=<%45kotvb,2>.12ndyyo'78+;?air|h"3%45kotvb,=6.12ndyyo'80+:?air|h"3>$74dnwwe->4!01ocxzn(96*=>bh}}k#48'6;emvpd.?> 30hb{{a):4-<=cg|~j$56&9:flqqg/00#37iazt`*:-<=cg|~j$4>&9:flqqg/18#27iazt`*:6,?<lfm%7<)89gkprf 0>"56j`uuc+=0/>3me~xl&66(;8`jssi!3<%45kotvb,<>.12ndyyo'98+;?air|k";%55kotva,4/>3me~xo&>0(;8`jssj!;:%45kotva,44.12ndyyl'12+:?air|k":8$74dnwwf-72!01ocxzm(04*=>bh}}h#=:'6;emvpg.60 30hb{{b)3:-==cg|~i$?'6;emvpg.58 30hb{{b)02-<=cg|~i$?<&9:flqqd/::#27iaztc*10,?<lfn%<:)89gkpre ;<"56j`uu`+62/>3me~xo&=8(;8`jssj!82%55kotva,6/>3me~xo&<0(;8`jssj!9:%o5kotva,67=8 20hb{{b)6*<>bh}}h#9$64dnwwf-0.02ndyyl'7(:8`jssj!2"46j`uu`+=,5<mgk87h`m8:ldggsndm<0{ho'0(:8s`g/83:":6yjb)2*<>qbj!:1<$sO@q53f>FGp83;6K4;:0yP15<6?h0:8:4>33ffbc<5?1nnwc?88;38j41>2?1/=:851608yV2b28=j6<:8:011```a2;=3i;5\12d96`g=83;8>ikif;04<`c<[=o1>ho50;306acan38<4k?4d0;3>5<628qX9=4>7`8202<6;;nnjk4=79g1?sR6=10;6<4>:07:V3728=j6<:8:011```a2;=3i?5+1769517<^8=<6?uz15095>s6<:0;7p*>49823>d6190;6<;7:4821<}O9?90(<;j:0;3?_5?21q26<655;c90?b=>3;;6p*>7482=`=#;h0:5?5+4g82=4=#9<?1=6*>55823g=n:lh1<7*>4`81af=i9=31<65f19194?"6<h0:495a15;94>=n9181<7*>4`82<1=i9=31=65f19394?"6<h0:495a15;96>=n91:1<7*>4`82<1=i9=31?65f16d94?"6<h0:495a15;90>=n9>o1<7*>4`82<1=i9=31965f16f94?"6<h0:495a15;92>=n9>i1<7*>4`82<1=i9=31;65f1b694?"6<h0:o85a15;94>=n9j91<7*>4`82g0=i9=31=65f1b094?"6<h0:o85a15;96>=n9j;1<7*>4`82g0=i9=31?65f1b294?"6<h0:o85a15;90>=n9kl1<7*>4`82g0=i9=31965f1cg94?"6<h0:o85a15;92>=n9kn1<7*>4`82g0=i9=31;65f1c`94?"6<h0:o85a15;9<>=n9kk1<7*>4`82g0=i9=31565f1c;94?"6<h0:o85a15;9e>=n9k21<7*>4`82g0=i9=31n65f1c594?"6<h0:o85a15;9g>=n9k<1<7*>4`82g0=i9=31h65f1c794?"6<h0:o85a15;9a>=n9k>1<7*>4`82g0=i9=31j65f1c194?"6<h0:o85a15;955=<a8h96=4+15c95f3<f8>26<?4;h3a4?6=,8>j6<m:;o37=?7532c:mk4?:%37e?7d=2d:844>3:9j5dc=83.:8l4>c49m51?=9=10e<ok:18'51g=9j?0b<:6:078?l7fk3:1(<:n:0a6?k7313;=76g>ac83>!73i3;h96`>48823>=n9hk1<7*>4`82g0=i9=31=554i0c:>5<#9=k1=n;4n06:>4?<3`;j47>5$06b>4e23g;?57?n;:k2e2<72-;?m7?l5:l20<<6j21b>?:50;&20d<6k<1e=9751b98m744290/=9o51b78j42>28n07d<=2;29 42f28i>7c?;9;3f?>o5:80;6)?;a;3`1>h6<00:j65f23294?"6<h0:o85a15;965=<a;;m6=4+15c95f3<f8>26??4;h02a?6=,8>j6<m:;o37=?4532c9=i4?:%37e?7d=2d:844=3:9j64e=83.:8l4>c49m51?=:=10e??m:18'51g=9j?0b<:6:378?l4613:1(<:n:0a6?k73138=76g=1983>!73i3;h96`>48813>=n:8=1<7*>4`82g0=i9=31>554i335>5<#9=k1=n;4n06:>7?<3`8:97>5$06b>4e23g;?57<n;:k151<72-;?m7?l5:l20<<5j21b><=50;&20d<6k<1e=9752b98m775290/=9o51b78j42>2;n07d<>1;29 42f28i>7c?;9;0f?>o5990;6)?;a;3`1>h6<009j65f21g94?"6<h0:o85a15;975=<a;:o6=4+15c95f3<f8>26>?4;h03g?6=,8>j6<m:;o37=?5532c9<o4?:%37e?7d=2d:844<3:9j65g=83.:8l4>c49m51?=;=10e?>6:18'51g=9j?0b<:6:278?l4703:1(<:n:0a6?k73139=76g=0683>!73i3;h96`>48803>=n:9<1<7*>4`82g0=i9=31?554i326>5<#9=k1=n;4n06:>6?<3`8;?7>5$06b>4e23g;?57=n;:k147<72-;?m7?l5:l20<<4j21b>=?50;&20d<6k<1e=9753b98m767290/=9o51b78j42>2:n07d?if;29 42f28i>7c?;9;1f?>o6nl0;6)?;a;3`1>h6<008j65f1gf94?"6<h0:o85a15;905=<a8lh6=4+15c95f3<f8>269?4;h3ef?6=,8>j6<m:;o37=?2532c:jl4?:%37e?7d=2d:844;3:9j5c>=83.:8l4>c49m51?=<=10e<h8:18'51g=9j?0b<:6:578?l7a>3:1(<:n:0a6?k7313>=76g>f483>!73i3;h96`>48873>=n9o>1<7*>4`82g0=i9=318554i0d0>5<#9=k1=n;4n06:>1?<3`;m>7>5$06b>4e23g;?57:n;:k2b4<72-;?m7?l5:l20<<3j21b=k>50;&20d<6k<1e=9754b98m4ca290/=9o51b78j42>2=n07d?jd;29 42f28i>7c?;9;6f?>o6mj0;6)?;a;3`1>h6<00?j65f1d`94?"6<h0:o85a15;915=<a8oj6=4+15c95f3<f8>268?4;h3f=?6=,8>j6<m:;o37=?3532c:i54?:%37e?7d=2d:844:3:9j5`1=83.:8l4>c49m51?===10e<k9:18'51g=9j?0b<:6:478?l7b=3:1(<:n:0a6?k7313?=76g>e583>!73i3;h96`>48863>=n9l81<7*>4`82g0=i9=319554i0g2>5<#9=k1=n;4n06:>0?<3`;n<7>5$06b>4e23g;?57;n;:k2`c<72-;?m7?l5:l20<<2j21b=ik50;&20d<6k<1e=9755b98m4bc290/=9o51b78j42>2<n07d?kc;29 42f28i>7c?;9;7f?>o6lk0;6)?;a;3`1>h6<00>j65f1ec94?"6<h0:o85a15;925=<a8n26=4+15c95f3<f8>26;?4;h3g3?6=,8>j6<m:;o37=?0532c:h;4?:%37e?7d=2d:84493:9j5a3=83.:8l4>c49m51?=>=10e<j;:18'51g=9j?0b<:6:778?l7c;3:1(<:n:0a6?k7313<=76g>d383>!73i3;h96`>48853>=n9m;1<7*>4`82g0=i9=31:554i0f3>5<#9=k1=n;4n06:>3?<3`;hj7>5$06b>4e23g;?578n;:k2g`<72-;?m7?l5:l20<<1j21b=nm50;&20d<6k<1e=9756b98m4ee290/=9o51b78j42>2?n07d?la;29 42f28i>7c?;9;4f?>o6k00;6)?;a;3`1>h6<00=j65f1b:94?"6<h0:o85a15;935=<a8i<6=4+15c95f3<f8>26:?4;h3`2?6=,8>j6<m:;o37=?1532c:nn4?:%37e?7d=2d:84483:9j5g7=83.:8l4>c49m51?=?=10e<o9:18'51g=9j?0b<:6:678?l46i3:1(<:n:0a6?k7313==76g=0g83>!73i3;h96`>48843>=n:9>1<7*>4`82g0=i9=31;554i0d:>5<#9=k1=n;4n06:>2?<3`;ni7>5$06b>4e23g;?579n;:k2a6<72-;?m7?l5:l20<<0j21b=i650;&20d<6k<1e=9757b98m4ec290/=9o51b78j42>2>n07d?n5;29 42f28i>7c?;9;5f?>o6i=0;6)?;a;3`1>h6<00<j65f2df94?"6<h09ih5a15;94>=n91o1<7*>4`82<c=i9=31<65f19f94?"6<h0:4k5a15;95>=n91i1<7*>4`82<c=i9=31>65f19`94?"6<h0:4k5a15;97>=n91k1<7*>4`82<c=i9=31865f19;94?"6<h0:4k5a15;91>=n9121<7*>4`82<c=i9=31:65f19594?"6<h0:4k5a15;93>=n91<1<7*>4`82<c=i9=31465f19794?"6<h0:4k5a15;9=>=n::o1<7*>4`817c=i9=31<65f22f94?"6<h09?k5a15;95>=n::h1<7*>4`817c=i9=31>65f22c94?"6<h09?k5a15;97>=n::31<7*>4`817c=i9=31865f22:94?"6<h09?k5a15;91>=n::=1<7*>4`817c=i9=31:65f22494?"6<h09?k5a15;93>=n::?1<7*>4`817c=i9=31465f22694?"6<h09?k5a15;9=>=n::91<7*>4`817c=i9=31m65f22094?"6<h09?k5a15;9f>=n:::1<7*>4`817c=i9=31o65f23d94?"6<h09?k5a15;9`>=n:;o1<7*>4`817c=i9=31i65f23f94?"6<h09?k5a15;9b>=n:;i1<7*>4`817c=i9=31==54i30a>5<#9=k1>>h4n06:>47<3`89m7>5$06b>75a3g;?57?=;:k16<<72-;?m7<<f:l20<<6;21b>?650;&20d<5;o1e=9751598m740290/=9o522d8j42>28?07d<;5;29 42f2;9m7c?;9;35?>o5<=0;6)?;a;00b>h6<00:;65f25194?"6<h09?k5a15;95==<a;>96=4+15c966`<f8>26<74;h075?6=,8>j6?=i;o37=?7f32c98=4?:%37e?44n2d:844>b:9j66e=83.:8l4=3g9m51?=9j10e?=>:18'51g=::l0b<:6:0f8?l45>3:1(<:n:31e?k7313;n76g=2483>!73i388j6`>4882b>=n9h81<75f2d:94?=n9h91<75f2d;94?=h:?<1<7*>4`8122=i9=31<65`27794?"6<h09::5a15;95>=h:?>1<7*>4`8122=i9=31>65`27194?"6<h09::5a15;97>=h:?81<7*>4`8122=i9=31865`27394?"6<h09::5a15;91>=h:?:1<7*>4`8122=i9=31:65`24d94?"6<h09::5a15;93>=h:<n1<7*>4`8122=i9=31465`24a94?"6<h09::5a15;9=>=h:<h1<7*>4`8122=i9=31m65`24c94?"6<h09::5a15;9f>=h:<31<7*>4`8122=i9=31o65`24:94?"6<h09::5a15;9`>=h:<=1<7*>4`8122=i9=31i65`24494?"6<h09::5a15;9b>=h:<?1<7*>4`8122=i9=31==54o377>5<#9=k1>;94n06:>47<3f8>>7>5$06b>7003g;?57?=;:m114<72-;?m7<97:l20<<6;21d>8>50;&20d<5>>1e=9751598k72a290/=9o52758j42>28?07b<;e;29 42f2;<<7c?;9;35?>i5<m0;6)?;a;053>h6<00:;65`25a94?"6<h09::5a15;95==<g;>i6=4+15c9631<f8>26<74;n07e?6=,8>j6?88;o37=?7f32e9844?:%37e?41?2d:844>b:9l6f0=83.:8l4=669m51?=9j10c?m::18'51g=:?=0b<:6:0f8?j4d<3:1(<:n:344?k7313;n76a=c283>!73i38=;6`>4882b>=h:j81<7*>4`8122=i9=31>=54o3a2>5<#9=k1>;94n06:>77<3f8h<7>5$06b>7003g;?57<=;:m1fc<72-;?m7<97:l20<<5;21d>ok50;&20d<5>>1e=9752598k7dc290/=9o52758j42>2;?07b<mb;29 42f2;<<7c?;9;05?>i5jh0;6)?;a;053>h6<009;65`2c;94?"6<h09::5a15;96==<g;h36=4+15c9631<f8>26?74;n0a3?6=,8>j6?88;o37=?4f32e9n;4?:%37e?41?2d:844=b:9l6g3=83.:8l4=669m51?=:j10c?l;:18'51g=:?=0b<:6:3f8?j4e;3:1(<:n:344?k73138n76a=b383>!73i38=;6`>4881b>=h:k:1<7*>4`8122=i9=31?=54o3ce>5<#9=k1>;94n06:>67<3f8ji7>5$06b>7003g;?57==;:m1ea<72-;?m7<97:l20<<4;21d>lm50;&20d<5>>1e=9753598k7ge290/=9o52758j42>2:?07b<na;29 42f2;<<7c?;9;15?>i5i00;6)?;a;053>h6<008;65`2`:94?"6<h09::5a15;97==<g;k<6=4+15c9631<f8>26>74;n0b1?6=,8>j6?88;o37=?5f32e9m94?:%37e?41?2d:844<b:9l6d5=83.:8l4=669m51?=;j10c?o=:18'51g=:?=0b<:6:2f8?j4f93:1(<:n:344?k73139n76a=a183>!73i38=;6`>4880b>=h:0l1<7*>4`8122=i9=318=54o3;f>5<#9=k1>;94n06:>17<3f82h7>5$06b>7003g;?57:=;:m1=f<72-;?m7<97:l20<<3;21d>4o50;&20d<5>>1e=9754598k7?>290/=9o52758j42>2=?07b<68;29 42f2;<<7c?;9;65?>i51>0;6)?;a;053>h6<00?;65`28494?"6<h09::5a15;90==<g;3>6=4+15c9631<f8>26974;n0:0?6=,8>j6?88;o37=?2f32e95>4?:%37e?41?2d:844;b:9l6<4=83.:8l4=669m51?=<j10c?7>:18'51g=:?=0b<:6:5f8?j4?n3:1(<:n:344?k7313>n76a=8d83>!73i38=;6`>4887b>=h:1n1<7*>4`8122=i9=319=54o3:`>5<#9=k1>;94n06:>07<3f83n7>5$06b>7003g;?57;=;:m1<d<72-;?m7<97:l20<<2;21d>5750;&20d<5>>1e=9755598k7>?290/=9o52758j42>2<?07b<77;29 42f2;<<7c?;9;75?>i50?0;6)?;a;053>h6<00>;65`29694?"6<h09::5a15;91==<g;286=4+15c9631<f8>26874;n0;6?6=,8>j6?88;o37=?3f32e94<4?:%37e?41?2d:844:b:9l6=6=83.:8l4=669m51?==j10c?9i:18'51g=:?=0b<:6:4f8?j40m3:1(<:n:344?k7313?n76a=7e83>!73i38=;6`>4886b>=h:>i1<7*>4`8122=i9=31:=54o35a>5<#9=k1>;94n06:>37<3f8<57>5$06b>7003g;?578=;:m13=<72-;?m7<97:l20<<1;21d>:950;&20d<5>>1e=9756598k711290/=9o52758j42>2??07b<85;29 42f2;<<7c?;9;45?>i5?=0;6)?;a;053>h6<00=;65`26194?"6<h09::5a15;92==<g;=96=4+15c9631<f8>26;74;n045?6=,8>j6?88;o37=?0f32e9;=4?:%37e?41?2d:8449b:9l63c=83.:8l4=669m51?=>j10c?8k:18'51g=:?=0b<:6:7f8?j41k3:1(<:n:344?k7313<n76a=6c83>!73i38=;6`>4885b>=h:?k1<7*>4`8122=i9=31;=54o34:>5<#9=k1>;94n06:>27<3f8=47>5$06b>7003g;?579=;:m11`<72-;?m7<97:l20<<0;21d>8=50;&20d<5>>1e=9757598k72?290/=9o52758j42>2>?07b<mc;29 42f2;<<7c?;9;55?>i5j80;6)?;a;053>h6<00<;65`2`494?"6<h09::5a15;93==<g;3i6=4+15c9631<f8>26:74;n0:4?6=,8>j6?88;o37=?1f32e9484?:%37e?41?2d:8448b:9l62g=83.:8l4=669m51?=?j10c?8i:18'51g=:?=0b<:6:6f8?j43?3:1(<:n:344?k7313=n76a=4783>!73i38=;6`>4884b>=h:l:1<7*>4`81a4=i9=31<65`2ed94?"6<h09i<5a15;95>=h:mn1<7*>4`81a4=i9=31>65`2ea94?"6<h09i<5a15;97>=h:mh1<7*>4`81a4=i9=31865`2ec94?"6<h09i<5a15;91>=h:m31<7*>4`81a4=i9=31:65`2e:94?"6<h09i<5a15;93>=h:m=1<7*>4`81a4=i9=31465`2e494?"6<h09i<5a15;9=>=h:m?1<7*>4`81a4=i9=31m65`2e694?"6<h09i<5a15;9f>=h:m81<7*>4`81a4=i9=31o65`2e394?"6<h09i<5a15;9`>=h:m:1<7*>4`81a4=i9=31i65`2bd94?"6<h09i<5a15;9b>=h:jo1<7*>4`81a4=i9=31==54o3ag>5<#9=k1>h?4n06:>47<3f8ho7>5$06b>7c63g;?57?=;:m1gg<72-;?m7<j1:l20<<6;21d>no50;&20d<5m81e=9751598k7e>290/=9o52d38j42>28?07b<j7;29 42f2;o:7c?;9;35?>i5m?0;6)?;a;0f5>h6<00:;65`2d794?"6<h09i<5a15;95==<g;o?6=4+15c96`7<f8>26<74;n0f7?6=,8>j6?k>;o37=?7f32e9i?4?:%37e?4b92d:844>b:9l6ac=83.:8l4=e09m51?=9j10c?j<:18'51g=:l;0b<:6:0f8?j4d03:1(<:n:3g2?k7313;n76a=c683>!73i38n=6`>4882b>=e9>>1<7?50;2x 43b2o90D<9<;I357>ia:3:17pl>5g83>ge=83:p(<;j:06`?M70;2B::>5U398`2<?28;1=84>2;35>45=m3i1j7?;:c8~j07=:=1e9?46;oa3>5=im;0:7)ki:g38 c6=n81/j94<;%d6>6=#n?087)h8:29'b=<43-l26>5+f`80?!`e2:1/jn4<;%dg>6=#nl087)hi:29'556=;2.:<<4<;%336?5<,8:86>5+11697>"68<087)??6;18 4602:1/==653:&24<<43-;;m7=4$02a>6=#99i1?6*>0e80?!77m390(<>i:29'546=;2.:=<4<;%326?5<,8;86>5+10697>"69<087)?>6;18 4702:1/=<653:&25<<43-;:m7=4$03a>6=#98i1?6*>1e80?!76m390(<?i:29'576=;2.:><4<;%316?5<,8886>5+13697>"6:<087)?=6;18 4402:1/=?653:&26<<43-;9m7=4$00a>6=#9;i1?6*>2e80?!75m390(<<i:29'566=;2.:?<4<;%306?5<,8986>5+12697>"6;<087)?<6;18 4502:1/=>653:&27<<43-;8m7=4$01a>6=#9:i1>6*>3e81?!74m3;?:6*>50821a=#9<81?45+14197<=#9<<1?:5+145950?<,8?j6?5+14`96>"6?90::k5+163953`<a8>o6=44i5394?=nml0;66g>5183>>o3>3:17d?;e;29?l73n3:17dkk:188m<>=83.:8l469:l20<<732c2;7>5$06b><?<f8>26<54i8494?"6<h0256`>4881?>o>=3:1(<:n:8;8j42>2:10e<87:18'51g=9?30b<:6:198m400290/=9o517;8j42>2810e<89:18'51g=9?30b<:6:398m402290/=9o517;8j42>2:10co650;&20d<e12d:844?;:ma3?6=,8>j6o74n06:>4=<gk?1<7*>4`8a=>h6<00976am4;29 42f2k30b<:6:298kg5=83.:8l4m9:l20<<332ei>7>5$06b>g?<f8>26854oc394?"6<h0i56`>4885?>ie83:1(<:n:c;8j42>2>10clh50;&20d<e12d:8447;:mba?6=,8>j6o74n06:><=<ghn1<7*>4`8a=>h6<00j76anc;29 42f2k30b<:6:c98kdg=83.:8l4m9:l20<<d32ej57>5$06b>g?<f8>26i54o`:94?"6<h0i56`>488f?>if?3:1(<:n:c;8j42>2o10cl850;&20d<e12d:844>0:9le0<72-;?m7l6;o37=?7632ej87>5$06b>g?<f8>26<<4;nc0>5<#9=k1n45a15;956=<gh81<7*>4`8a=>h6<00:865`a083>!73i3h27c?;9;36?>ien3:1(<:n:c;8j42>28<07blj:18'51g=j01e=9751698kgb=83.:8l4m9:l20<<6021dnn4?:%37e?d>3g;?57?6;:maf?6=,8>j6o74n06:>4g<3fhj6=4+15c9f<=i9=31=o54oc494?"6<h0i56`>4882g>=hik0;6)?;a;`:?k7313;o76an0;29 42f2k30b<:6:0g8?j?a290/=9o5b89m51?=9o10e<k50;&20d<6n2d:844?;:k2`?6=,8>j6<h4n06:>4=<a8i1<7*>4`82b>h6<00976g>b;29 42f28l0b<:6:298m71=83.:8l4>f:l20<<332c9:7>5$06b>4`<f8>26854i3794?"6<h0:j6`>4885?>o5<3:1(<:n:0d8j42>2>10e?=50;&20d<6n2d:8447;:k16?6=,8>j6<h4n06:><=<a;;1<7*>4`82b>h6<00j76g=0;29 42f28l0b<:6:c98m4g=83.:8l4>f:l20<<d32c:57>5$06b>4`<f8>26i54i3f94?"6<h09i6`>4883?>o5k3:1(<:n:3g8j42>2810e?l50;&20d<5m2d:844=;:k1e?6=,8>j6?k4n06:>6=<a:<1<7*>4`81a>h6<00?76g<5;29 42f2;o0b<:6:498m62=83.:8l4=e:l20<<132c8?7>5$06b>7c<f8>26:54i2094?"6<h09i6`>488;?>o493:1(<:n:3g8j42>2010e>>50;&20d<5m2d:844n;:k1b?6=,8>j6?k4n06:>g=<a;31<7*>4`81a>h6<00h76g=8;29 42f2;o0b<:6:e98m=b=83.:8l47e:l20<<732c3o7>5$06b>=c<f8>26<54i9c94?"6<h03i6`>4881?>o?13:1(<:n:9g8j42>2:10e5650;&20d<?m2d:844;;:k;3?6=,8>j65k4n06:>0=<a1<1<7*>4`8;a>h6<00=76g75;29 42f21o0b<:6:698m=2=83.:8l47e:l20<<?32c3?7>5$06b>=c<f8>26454i9094?"6<h03i6`>488b?>o?93:1(<:n:9g8j42>2k10e:h50;&20d<?m2d:844l;:k4a?6=,8>j65k4n06:>a=<a>n1<7*>4`8;a>h6<00n76g8c;29 42f21o0b<:6:g98m2d=83.:8l47e:l20<<6821b;l4?:%37e?>b3g;?57?>;:k4=?6=,8>j65k4n06:>44<3`=36=4+15c9<`=i9=31=>54i6594?"6<h03i6`>48820>=n??0;6)?;a;:f?k7313;>76g64;29 42f21o0b<:6:048?l?4290/=9o58d9m51?=9>10e4<50;&20d<?m2d:844>8:9j=4<72-;?m76j;o37=?7>32c2<7>5$06b>=c<f8>26<o4;h:e>5<#9=k14h5a15;95g=<a1h1<7*>4`8;a>h6<00:o65f8183>!73i32n7c?;9;3g?>o0=3:1(<:n:9g8j42>28o07d9;:18'51g=0l1e=9751g98k`0=83.:8l4j7:l20<<732en97>5$06b>`1<f8>26<54od694?"6<h0n;6`>4881?>ib;3:1(<:n:d58j42>2:10e4j50;&20d<>m2d:844?;:k:g?6=,8>j64k4n06:>4=<a0h1<7*>4`8:a>h6<00976g6a;29 42f20o0b<:6:298k`d=83.:8l4jc:l20<<732enm7>5$06b>`e<f8>26<54od;94?"6<h0no6`>4881?>ib03:1(<:n:da8j42>2:10cio50;&20d<cj2d:844?;:mg=?6=,8>j6il4n06:>4=<gm=1<7*>4`8gf>h6<00976ak6;29 42f2mh0b<:6:298ka3=83.:8l4kb:l20<<332eo87>5$06b>ad<f8>26854oe194?"6<h0on6`>4885?>ic:3:1(<:n:e`8j42>2>10ci?50;&20d<cj2d:8447;:mg4?6=,8>j6il4n06:><=<gjl1<7*>4`8gf>h6<00j76ale;29 42f2mh0b<:6:c98kfe=83.:8l4kb:l20<<d32ehn7>5$06b>ad<f8>26i54obc94?"6<h0on6`>488f?>id13:1(<:n:e`8j42>2o10cn650;&20d<cj2d:844>0:9lg2<72-;?m7jm;o37=?7632eh:7>5$06b>ad<f8>26<<4;na6>5<#9=k1ho5a15;956=<gj>1<7*>4`8gf>h6<00:865`c283>!73i3ni7c?;9;36?>ib93:1(<:n:e`8j42>28<07bk?:18'51g=lk1e=9751698ka`=83.:8l4kb:l20<<6021dhh4?:%37e?be3g;?57?6;:mg`?6=,8>j6il4n06:>4g<3fnh6=4+15c9`g=i9=31=o54oe:94?"6<h0on6`>4882g>=hkm0;6)?;a;fa?k7313;o76al2;29 42f2mh0b<:6:0g8?je6290/=9o5dc9m51?=9o10e<8k:18'51g=9?o0b<:6:198m40d290/=9o517g8j42>2810e<8m:18'51g=9?o0b<:6:398m40f290/=9o517g8j42>2:10e;m50;&20d<1l2d:844?;:k5f?6=,8>j6;j4n06:>4=<a?31<7*>4`85`>h6<00976g98;29 42f2?n0b<:6:298m31=83.:8l49d:l20<<332c=:7>5$06b>3b<f8>26854i7794?"6<h0=h6`>4885?>o1<3:1(<:n:7f8j42>2>10e;=50;&20d<1l2d:8447;:k56?6=,8>j6;j4n06:><=<a?;1<7*>4`85`>h6<00j76g90;29 42f2?n0b<:6:c98m0c=83.:8l49d:l20<<d32c>h7>5$06b>3b<f8>26i54i4a94?"6<h0=h6`>488f?>o2j3:1(<:n:7f8j42>2o10e8o50;&20d<1l2d:844>0:9j1<<72-;?m78k;o37=?7632c>47>5$06b>3b<f8>26<<4;h74>5<#9=k1:i5a15;956=<a<<1<7*>4`85`>h6<00:865f5483>!73i3<o7c?;9;36?>o0;3:1(<:n:7f8j42>28<07d9=:18'51g=>m1e=9751698m27=83.:8l49d:l20<<6021b;=4?:%37e?0c3g;?57?6;:k5b?6=,8>j6;j4n06:>4g<3`<n6=4+15c92a=i9=31=o54i7c94?"6<h0=h6`>4882g>=n=o0;6)?;a;4g?k7313;o76g:4;29 42f2?n0b<:6:0g8?l34290/=9o56e9m51?=9o10qo?90;29ff<729q/=8k515a8L4143A;=?6T<8;ax3?>=980:97?=:04956<b2j0m6<:5b;m14<5<2d>>774nb294>hb:3;0(hh5f09'b5<a92.m87=4$g797>"a>390(k953:&e<?5<,o31?6*ia;18 cd=;2.mo7=4$gf97>"am390(kh53:&245<43-;;=7=4$021>6=#9991?6*>0580?!77=390(<>9:29'551=;2.:<54<;%33=?5<,8:j6>5+11`97>"68j087)??d;18 46b2:1/==h53:&255<43-;:=7=4$031>6=#9891?6*>1580?!76=390(<?9:29'541=;2.:=54<;%32=?5<,8;j6>5+10`97>"69j087)?>d;18 47b2:1/=<h53:&265<43-;9=7=4$001>6=#9;91?6*>2580?!75=390(<<9:29'571=;2.:>54<;%31=?5<,88j6>5+13`97>"6:j087)?=d;18 44b2:1/=?h53:&275<43-;8=7=4$011>6=#9:91?6*>3580?!74=390(<=9:29'561=;2.:?54<;%30=?5<,89j6>5+12`97>"6;j097)?<d;08 45b28>=7)?:1;36`>"6=;0856*>5280=>"6=?08;6*>56821<=#9<k1>6*>5c81?!7083;=j6*>70822c=n9=n1<75f4083>>obm3:17d?:0;29?l212900e<:j:188m42a2900ehj50;9j==<72-;?m776;o37=?6<3`3<6=4+15c9=<=i9=31=65f9783>!73i3327c?;9;08?l?2290/=9o5989m51?=;21b=;650;&20d<6>01e=9750:9j531=83.:8l4>689m51?=921b=;850;&20d<6>01e=9752:9j533=83.:8l4>689m51?=;21dn54?:%37e?d>3g;?57>4;n`4>5<#9=k1n45a15;95>=hj<0;6)?;a;`:?k7313807bl;:18'51g=j01e=9753:9lf6<72-;?m7l6;o37=?2<3fh96=4+15c9f<=i9=31965`b083>!73i3h27c?;9;48?jd7290/=9o5b89m51?=?21dmk4?:%37e?d>3g;?5764;ncf>5<#9=k1n45a15;9=>=him0;6)?;a;`:?k7313k07bol:18'51g=j01e=975b:9led<72-;?m7l6;o37=?e<3fk26=4+15c9f<=i9=31h65`a983>!73i3h27c?;9;g8?jg0290/=9o5b89m51?=n21dm;4?:%37e?d>3g;?57??;:mb1?6=,8>j6o74n06:>47<3fk?6=4+15c9f<=i9=31=?54o`194?"6<h0i56`>48827>=hi;0;6)?;a;`:?k7313;?76an1;29 42f2k30b<:6:078?jda290/=9o5b89m51?=9?10cok50;&20d<e12d:844>7:9lfa<72-;?m7l6;o37=?7?32eio7>5$06b>g?<f8>26<74;n`a>5<#9=k1n45a15;95d=<gkk1<7*>4`8a=>h6<00:n65`b783>!73i3h27c?;9;3`?>ifj3:1(<:n:c;8j42>28n07bo?:18'51g=j01e=9751d98k<`=83.:8l4m9:l20<<6n21b=h4?:%37e?7a3g;?57>4;h3g>5<#9=k1=k5a15;95>=n9j0;6)?;a;3e?k7313807d?m:18'51g=9o1e=9753:9j62<72-;?m7?i;o37=?2<3`8=6=4+15c95c=i9=31965f2483>!73i3;m7c?;9;48?l43290/=9o51g9m51?=?21b>>4?:%37e?7a3g;?5764;h01>5<#9=k1=k5a15;9=>=n:80;6)?;a;3e?k7313k07d<?:18'51g=9o1e=975b:9j5d<72-;?m7?i;o37=?e<3`;26=4+15c95c=i9=31h65f2e83>!73i38n7c?;9;28?l4d290/=9o52d9m51?=921b>o4?:%37e?4b3g;?57<4;h0b>5<#9=k1>h5a15;97>=n;?0;6)?;a;0f?k7313>07d=::18'51g=:l1e=9755:9j71<72-;?m7<j;o37=?0<3`986=4+15c96`=i9=31;65f3383>!73i38n7c?;9;:8?l56290/=9o52d9m51?=121b?=4?:%37e?4b3g;?57o4;h0e>5<#9=k1>h5a15;9f>=n:00;6)?;a;0f?k7313i07d<7:18'51g=:l1e=975d:9j<a<72-;?m76j;o37=?6<3`2h6=4+15c9<`=i9=31=65f8`83>!73i32n7c?;9;08?l>>290/=9o58d9m51?=;21b454?:%37e?>b3g;?57:4;h:4>5<#9=k14h5a15;91>=n0?0;6)?;a;:f?k7313<07d6::18'51g=0l1e=9757:9j<1<72-;?m76j;o37=?><3`286=4+15c9<`=i9=31565f8383>!73i32n7c?;9;c8?l>6290/=9o58d9m51?=j21b;k4?:%37e?>b3g;?57m4;h5f>5<#9=k14h5a15;9`>=n?m0;6)?;a;:f?k7313o07d9l:18'51g=0l1e=975f:9j3g<72-;?m76j;o37=?7732c<m7>5$06b>=c<f8>26<?4;h5:>5<#9=k14h5a15;957=<a>21<7*>4`8;a>h6<00:?65f7683>!73i32n7c?;9;37?>o0>3:1(<:n:9g8j42>28?07d7;:18'51g=0l1e=9751798m<5=83.:8l47e:l20<<6?21b5?4?:%37e?>b3g;?57?7;:k:5?6=,8>j65k4n06:>4?<3`3;6=4+15c9<`=i9=31=l54i9d94?"6<h03i6`>4882f>=n0k0;6)?;a;:f?k7313;h76g70;29 42f21o0b<:6:0f8?l12290/=9o58d9m51?=9l10e::50;&20d<?m2d:844>f:9la3<72-;?m7k8;o37=?6<3fo>6=4+15c9a2=i9=31=65`e583>!73i3o<7c?;9;08?jc4290/=9o5e69m51?=;21b5i4?:%37e??b3g;?57>4;h;`>5<#9=k15h5a15;95>=n1k0;6)?;a;;f?k7313807d7n:18'51g=1l1e=9753:9lag<72-;?m7kl;o37=?6<3foj6=4+15c9af=i9=31=65`e883>!73i3oh7c?;9;08?jc?290/=9o5eb9m51?=;21dhl4?:%37e?be3g;?57>4;nf:>5<#9=k1ho5a15;95>=hl>0;6)?;a;fa?k7313807bj9:18'51g=lk1e=9753:9l`0<72-;?m7jm;o37=?2<3fn?6=4+15c9`g=i9=31965`d283>!73i3ni7c?;9;48?jb5290/=9o5dc9m51?=?21dh<4?:%37e?be3g;?5764;nf3>5<#9=k1ho5a15;9=>=hko0;6)?;a;fa?k7313k07bmj:18'51g=lk1e=975b:9lgf<72-;?m7jm;o37=?e<3fii6=4+15c9`g=i9=31h65`c`83>!73i3ni7c?;9;g8?je>290/=9o5dc9m51?=n21do54?:%37e?be3g;?57??;:m`3?6=,8>j6il4n06:>47<3fi=6=4+15c9`g=i9=31=?54ob794?"6<h0on6`>48827>=hk=0;6)?;a;fa?k7313;?76al3;29 42f2mh0b<:6:078?jc6290/=9o5dc9m51?=9?10ch>50;&20d<cj2d:844>7:9l`c<72-;?m7jm;o37=?7?32eoi7>5$06b>ad<f8>26<74;nfg>5<#9=k1ho5a15;95d=<gmi1<7*>4`8gf>h6<00:n65`d983>!73i3ni7c?;9;3`?>idl3:1(<:n:e`8j42>28n07bm=:18'51g=lk1e=9751d98kf7=83.:8l4kb:l20<<6n21b=;j50;&20d<6>l1e=9750:9j53e=83.:8l4>6d9m51?=921b=;l50;&20d<6>l1e=9752:9j53g=83.:8l4>6d9m51?=;21b:n4?:%37e?0c3g;?57>4;h4a>5<#9=k1:i5a15;95>=n>00;6)?;a;4g?k7313807d87:18'51g=>m1e=9753:9j22<72-;?m78k;o37=?2<3`<=6=4+15c92a=i9=31965f6483>!73i3<o7c?;9;48?l03290/=9o56e9m51?=?21b:>4?:%37e?0c3g;?5764;h41>5<#9=k1:i5a15;9=>=n>80;6)?;a;4g?k7313k07d8?:18'51g=>m1e=975b:9j1`<72-;?m78k;o37=?e<3`?o6=4+15c92a=i9=31h65f5b83>!73i3<o7c?;9;g8?l3e290/=9o56e9m51?=n21b9l4?:%37e?0c3g;?57??;:k6=?6=,8>j6;j4n06:>47<3`?36=4+15c92a=i9=31=?54i4594?"6<h0=h6`>48827>=n=?0;6)?;a;4g?k7313;?76g:5;29 42f2?n0b<:6:078?l14290/=9o56e9m51?=9?10e:<50;&20d<1l2d:844>7:9j34<72-;?m78k;o37=?7?32c<<7>5$06b>3b<f8>26<74;h4e>5<#9=k1:i5a15;95d=<a?o1<7*>4`85`>h6<00:n65f6`83>!73i3<o7c?;9;3`?>o2n3:1(<:n:7f8j42>28n07d;;:18'51g=>m1e=9751d98m05=83.:8l49d:l20<<6n21vn<8>:18ag?6=8r.:9h4>4b9K525<@8<87W=7:by4>=<693;>6<<517827?c=k3l1=94m:|l65?433g?9645ac183?kc5281/ik4i1:&e4?`63-l?6>5+f480?!`12:1/j:4<;%d;>6=#n0087)hn:29'bg<43-lh6>5+fe80?!`b2:1/jk4<;%334?5<,8::6>5+11097>"68:087)??4;18 4622:1/==853:&242<43-;;47=4$02:>6=#99k1?6*>0c80?!77k390(<>k:29'55c=;2.:<k4<;%324?5<,8;:6>5+10097>"69:087)?>4;18 4722:1/=<853:&252<43-;:47=4$03:>6=#98k1?6*>1c80?!76k390(<?k:29'54c=;2.:=k4<;%314?5<,88:6>5+13097>"6::087)?=4;18 4422:1/=?853:&262<43-;947=4$00:>6=#9;k1?6*>2c80?!75k390(<<k:29'57c=;2.:>k4<;%304?5<,89:6>5+12097>"6;:087)?<4;18 4522:1/=>853:&272<43-;847=4$01:>6=#9:k1?6*>3c80?!74k380(<=k:39'56c=9=<0(<;>:07g?!72:3927)?:3;1:?!72>39<7)?:7;36=>"6=h097)?:b;08 41728<m7)?81;35b>o6<m0;66g;1;29?lcb2900e<;?:188m10=831b=9k50;9j51`=831bii4?::k:<?6=,8>j6474n06:>5=<a0=1<7*>4`8:=>h6<00:76g66;29 42f2030b<:6:398m<3=83.:8l469:l20<<432c::54?:%37e?7112d:844?;:k222<72-;?m7?99:l20<<632c::;4?:%37e?7112d:844=;:k220<72-;?m7?99:l20<<432ei47>5$06b>g?<f8>26=54oc594?"6<h0i56`>4882?>ie=3:1(<:n:c;8j42>2;10co:50;&20d<e12d:844<;:ma7?6=,8>j6o74n06:>1=<gk81<7*>4`8a=>h6<00>76am1;29 42f2k30b<:6:798kg6=83.:8l4m9:l20<<032ejj7>5$06b>g?<f8>26554o`g94?"6<h0i56`>488:?>ifl3:1(<:n:c;8j42>2h10clm50;&20d<e12d:844m;:mbe?6=,8>j6o74n06:>f=<gh31<7*>4`8a=>h6<00o76an8;29 42f2k30b<:6:d98kd1=83.:8l4m9:l20<<a32ej:7>5$06b>g?<f8>26<>4;nc6>5<#9=k1n45a15;954=<gh>1<7*>4`8a=>h6<00:>65`a283>!73i3h27c?;9;30?>if:3:1(<:n:c;8j42>28>07bo>:18'51g=j01e=9751498kg`=83.:8l4m9:l20<<6>21dnh4?:%37e?d>3g;?57?8;:ma`?6=,8>j6o74n06:>4><3fhh6=4+15c9f<=i9=31=454oc`94?"6<h0i56`>4882e>=hjh0;6)?;a;`:?k7313;i76am6;29 42f2k30b<:6:0a8?jge290/=9o5b89m51?=9m10cl>50;&20d<e12d:844>e:9l=c<72-;?m7l6;o37=?7a32c:i7>5$06b>4`<f8>26=54i0f94?"6<h0:j6`>4882?>o6k3:1(<:n:0d8j42>2;10e<l50;&20d<6n2d:844<;:k13?6=,8>j6<h4n06:>1=<a;<1<7*>4`82b>h6<00>76g=5;29 42f28l0b<:6:798m72=83.:8l4>f:l20<<032c9?7>5$06b>4`<f8>26554i3094?"6<h0:j6`>488:?>o593:1(<:n:0d8j42>2h10e?>50;&20d<6n2d:844m;:k2e?6=,8>j6<h4n06:>f=<a831<7*>4`82b>h6<00o76g=d;29 42f2;o0b<:6:198m7e=83.:8l4=e:l20<<632c9n7>5$06b>7c<f8>26?54i3c94?"6<h09i6`>4880?>o4>3:1(<:n:3g8j42>2=10e>;50;&20d<5m2d:844:;:k00?6=,8>j6?k4n06:>3=<a:91<7*>4`81a>h6<00<76g<2;29 42f2;o0b<:6:998m67=83.:8l4=e:l20<<>32c8<7>5$06b>7c<f8>26l54i3d94?"6<h09i6`>488a?>o513:1(<:n:3g8j42>2j10e?650;&20d<5m2d:844k;:k;`?6=,8>j65k4n06:>5=<a1i1<7*>4`8;a>h6<00:76g7a;29 42f21o0b<:6:398m=?=83.:8l47e:l20<<432c347>5$06b>=c<f8>26954i9594?"6<h03i6`>4886?>o?>3:1(<:n:9g8j42>2?10e5;50;&20d<?m2d:8448;:k;0?6=,8>j65k4n06:>==<a191<7*>4`8;a>h6<00276g72;29 42f21o0b<:6:`98m=7=83.:8l47e:l20<<e32c<j7>5$06b>=c<f8>26n54i6g94?"6<h03i6`>488g?>o0l3:1(<:n:9g8j42>2l10e:m50;&20d<?m2d:844i;:k4f?6=,8>j65k4n06:>46<3`=j6=4+15c9<`=i9=31=<54i6;94?"6<h03i6`>48826>=n?10;6)?;a;:f?k7313;876g87;29 42f21o0b<:6:068?l11290/=9o58d9m51?=9<10e4:50;&20d<?m2d:844>6:9j=6<72-;?m76j;o37=?7032c2>7>5$06b>=c<f8>26<64;h;2>5<#9=k14h5a15;95<=<a0:1<7*>4`8;a>h6<00:m65f8g83>!73i32n7c?;9;3a?>o?j3:1(<:n:9g8j42>28i07d6?:18'51g=0l1e=9751e98m23=83.:8l47e:l20<<6m21b;94?:%37e?>b3g;?57?i;:mf2?6=,8>j6h94n06:>5=<gl?1<7*>4`8f3>h6<00:76aj4;29 42f2l=0b<:6:398k`5=83.:8l4j7:l20<<432c2h7>5$06b><c<f8>26=54i8a94?"6<h02i6`>4882?>o>j3:1(<:n:8g8j42>2;10e4o50;&20d<>m2d:844<;:mff?6=,8>j6hm4n06:>5=<glk1<7*>4`8fg>h6<00:76aj9;29 42f2li0b<:6:398k`>=83.:8l4jc:l20<<432eom7>5$06b>ad<f8>26=54oe;94?"6<h0on6`>4882?>ic?3:1(<:n:e`8j42>2;10ci850;&20d<cj2d:844<;:mg1?6=,8>j6il4n06:>1=<gm>1<7*>4`8gf>h6<00>76ak3;29 42f2mh0b<:6:798ka4=83.:8l4kb:l20<<032eo=7>5$06b>ad<f8>26554oe294?"6<h0on6`>488:?>idn3:1(<:n:e`8j42>2h10cnk50;&20d<cj2d:844m;:m`g?6=,8>j6il4n06:>f=<gjh1<7*>4`8gf>h6<00o76ala;29 42f2mh0b<:6:d98kf?=83.:8l4kb:l20<<a32eh47>5$06b>ad<f8>26<>4;na4>5<#9=k1ho5a15;954=<gj<1<7*>4`8gf>h6<00:>65`c483>!73i3ni7c?;9;30?>id<3:1(<:n:e`8j42>28>07bm<:18'51g=lk1e=9751498k`7=83.:8l4kb:l20<<6>21di=4?:%37e?be3g;?57?8;:mgb?6=,8>j6il4n06:>4><3fnn6=4+15c9`g=i9=31=454oef94?"6<h0on6`>4882e>=hlj0;6)?;a;fa?k7313;i76ak8;29 42f2mh0b<:6:0a8?jec290/=9o5dc9m51?=9m10cn<50;&20d<cj2d:844>e:9lg4<72-;?m7jm;o37=?7a32c::i4?:%37e?71m2d:844?;:k22f<72-;?m7?9e:l20<<632c::o4?:%37e?71m2d:844=;:k22d<72-;?m7?9e:l20<<432c=o7>5$06b>3b<f8>26=54i7`94?"6<h0=h6`>4882?>o113:1(<:n:7f8j42>2;10e;650;&20d<1l2d:844<;:k53?6=,8>j6;j4n06:>1=<a?<1<7*>4`85`>h6<00>76g95;29 42f2?n0b<:6:798m32=83.:8l49d:l20<<032c=?7>5$06b>3b<f8>26554i7094?"6<h0=h6`>488:?>o193:1(<:n:7f8j42>2h10e;>50;&20d<1l2d:844m;:k6a?6=,8>j6;j4n06:>f=<a<n1<7*>4`85`>h6<00o76g:c;29 42f2?n0b<:6:d98m0d=83.:8l49d:l20<<a32c>m7>5$06b>3b<f8>26<>4;h7:>5<#9=k1:i5a15;954=<a<21<7*>4`85`>h6<00:>65f5683>!73i3<o7c?;9;30?>o2>3:1(<:n:7f8j42>28>07d;::18'51g=>m1e=9751498m25=83.:8l49d:l20<<6>21b;?4?:%37e?0c3g;?57?8;:k45?6=,8>j6;j4n06:>4><3`=;6=4+15c92a=i9=31=454i7d94?"6<h0=h6`>4882e>=n>l0;6)?;a;4g?k7313;i76g9a;29 42f2?n0b<:6:0a8?l3a290/=9o56e9m51?=9m10e8:50;&20d<1l2d:844>e:9j16<72-;?m78k;o37=?7a32wi=;<50;``>5<7s-;>i7?;c:J236=O9?90V>65cz59<?7628?1=?4>6;30>`<d2o0:87l5}o72>72<f<8156`l0;28j`4=92.nj7h>;%d3>c7<,o>1?6*i5;18 c0=;2.m;7=4$g:97>"a1390(ko53:&ef?5<,oi1?6*id;18 cc=;2.mj7=4$023>6=#99;1?6*>0380?!77;390(<>;:29'553=;2.:<;4<;%333?5<,8:36>5+11;97>"68h087)??b;18 46d2:1/==j53:&24`<43-;;j7=4$033>6=#98;1?6*>1380?!76;390(<?;:29'543=;2.:=;4<;%323?5<,8;36>5+10;97>"69h087)?>b;18 47d2:1/=<j53:&25`<43-;:j7=4$003>6=#9;;1?6*>2380?!75;390(<<;:29'573=;2.:>;4<;%313?5<,8836>5+13;97>"6:h087)?=b;18 44d2:1/=?j53:&26`<43-;9j7=4$013>6=#9:;1?6*>3380?!74;390(<=;:29'563=;2.:?;4<;%303?5<,8936>5+12;97>"6;h087)?<b;18 45d2;1/=>j52:&27`<6<?1/=8?514f8 4352:30(<;<:2;8 4312:=0(<;8:07:?!72i380(<;m:39'526=9?l0(<9>:04e?l73l3:17d:>:188m`c=831b=8>50;9j03<722c:8h4?::k20c<722cnh7>5;h;;>5<#9=k1545a15;94>=n1>0;6)?;a;;:?k7313;07d79:18'51g=101e=9752:9j=0<72-;?m776;o37=?5<3`;=47>5$06b>40>3g;?57>4;h353?6=,8>j6<86;o37=?7<3`;=:7>5$06b>40>3g;?57<4;h351?6=,8>j6<86;o37=?5<3fh36=4+15c9f<=i9=31<65`b683>!73i3h27c?;9;38?jd2290/=9o5b89m51?=:21dn94?:%37e?d>3g;?57=4;n`0>5<#9=k1n45a15;90>=hj;0;6)?;a;`:?k7313?07bl>:18'51g=j01e=9756:9lf5<72-;?m7l6;o37=?1<3fkm6=4+15c9f<=i9=31465`ad83>!73i3h27c?;9;;8?jgc290/=9o5b89m51?=i21dmn4?:%37e?d>3g;?57l4;ncb>5<#9=k1n45a15;9g>=hi00;6)?;a;`:?k7313n07bo7:18'51g=j01e=975e:9le2<72-;?m7l6;o37=?`<3fk=6=4+15c9f<=i9=31==54o`794?"6<h0i56`>48825>=hi=0;6)?;a;`:?k7313;976an3;29 42f2k30b<:6:018?jg5290/=9o5b89m51?=9=10cl?50;&20d<e12d:844>5:9lfc<72-;?m7l6;o37=?7132eii7>5$06b>g?<f8>26<94;n`g>5<#9=k1n45a15;95==<gki1<7*>4`8a=>h6<00:565`bc83>!73i3h27c?;9;3b?>iei3:1(<:n:c;8j42>28h07bl9:18'51g=j01e=9751b98kdd=83.:8l4m9:l20<<6l21dm=4?:%37e?d>3g;?57?j;:m:b?6=,8>j6o74n06:>4`<3`;n6=4+15c95c=i9=31<65f1e83>!73i3;m7c?;9;38?l7d290/=9o51g9m51?=:21b=o4?:%37e?7a3g;?57=4;h04>5<#9=k1=k5a15;90>=n:?0;6)?;a;3e?k7313?07d<::18'51g=9o1e=9756:9j61<72-;?m7?i;o37=?1<3`886=4+15c95c=i9=31465f2383>!73i3;m7c?;9;;8?l46290/=9o51g9m51?=i21b>=4?:%37e?7a3g;?57l4;h3b>5<#9=k1=k5a15;9g>=n900;6)?;a;3e?k7313n07d<k:18'51g=:l1e=9750:9j6f<72-;?m7<j;o37=?7<3`8i6=4+15c96`=i9=31>65f2`83>!73i38n7c?;9;18?l51290/=9o52d9m51?=<21b?84?:%37e?4b3g;?57;4;h17>5<#9=k1>h5a15;92>=n;:0;6)?;a;0f?k7313=07d==:18'51g=:l1e=9758:9j74<72-;?m7<j;o37=??<3`9;6=4+15c96`=i9=31m65f2g83>!73i38n7c?;9;`8?l4>290/=9o52d9m51?=k21b>54?:%37e?4b3g;?57j4;h:g>5<#9=k14h5a15;94>=n0j0;6)?;a;:f?k7313;07d6n:18'51g=0l1e=9752:9j<<<72-;?m76j;o37=?5<3`236=4+15c9<`=i9=31865f8683>!73i32n7c?;9;78?l>1290/=9o58d9m51?=>21b484?:%37e?>b3g;?5794;h:7>5<#9=k14h5a15;9<>=n0:0;6)?;a;:f?k7313307d6=:18'51g=0l1e=975a:9j<4<72-;?m76j;o37=?d<3`=m6=4+15c9<`=i9=31o65f7d83>!73i32n7c?;9;f8?l1c290/=9o58d9m51?=m21b;n4?:%37e?>b3g;?57h4;h5a>5<#9=k14h5a15;955=<a>k1<7*>4`8;a>h6<00:=65f7883>!73i32n7c?;9;31?>o003:1(<:n:9g8j42>28907d98:18'51g=0l1e=9751598m20=83.:8l47e:l20<<6=21b594?:%37e?>b3g;?57?9;:k:7?6=,8>j65k4n06:>41<3`396=4+15c9<`=i9=31=554i8394?"6<h03i6`>4882=>=n190;6)?;a;:f?k7313;j76g7f;29 42f21o0b<:6:0`8?l>e290/=9o58d9m51?=9j10e5>50;&20d<?m2d:844>d:9j30<72-;?m76j;o37=?7b32c<87>5$06b>=c<f8>26<h4;ng5>5<#9=k1i:5a15;94>=hm<0;6)?;a;g4?k7313;07bk;:18'51g=m>1e=9752:9la6<72-;?m7k8;o37=?5<3`3o6=4+15c9=`=i9=31<65f9b83>!73i33n7c?;9;38?l?e290/=9o59d9m51?=:21b5l4?:%37e??b3g;?57=4;nga>5<#9=k1in5a15;94>=hmh0;6)?;a;g`?k7313;07bk6:18'51g=mj1e=9752:9la=<72-;?m7kl;o37=?5<3fnj6=4+15c9`g=i9=31<65`d883>!73i3ni7c?;9;38?jb0290/=9o5dc9m51?=:21dh;4?:%37e?be3g;?57=4;nf6>5<#9=k1ho5a15;90>=hl=0;6)?;a;fa?k7313?07bj<:18'51g=lk1e=9756:9l`7<72-;?m7jm;o37=?1<3fn:6=4+15c9`g=i9=31465`d183>!73i3ni7c?;9;;8?jea290/=9o5dc9m51?=i21doh4?:%37e?be3g;?57l4;na`>5<#9=k1ho5a15;9g>=hkk0;6)?;a;fa?k7313n07bmn:18'51g=lk1e=975e:9lg<<72-;?m7jm;o37=?`<3fi36=4+15c9`g=i9=31==54ob594?"6<h0on6`>48825>=hk?0;6)?;a;fa?k7313;976al5;29 42f2mh0b<:6:018?je3290/=9o5dc9m51?=9=10cn=50;&20d<cj2d:844>5:9la4<72-;?m7jm;o37=?7132en<7>5$06b>ad<f8>26<94;nfe>5<#9=k1ho5a15;95==<gmo1<7*>4`8gf>h6<00:565`de83>!73i3ni7c?;9;3b?>ick3:1(<:n:e`8j42>28h07bj7:18'51g=lk1e=9751b98kfb=83.:8l4kb:l20<<6l21do?4?:%37e?be3g;?57?j;:m`5?6=,8>j6il4n06:>4`<3`;=h7>5$06b>40b3g;?57>4;h35g?6=,8>j6<8j;o37=?7<3`;=n7>5$06b>40b3g;?57<4;h35e?6=,8>j6<8j;o37=?5<3`<h6=4+15c92a=i9=31<65f6c83>!73i3<o7c?;9;38?l0>290/=9o56e9m51?=:21b:54?:%37e?0c3g;?57=4;h44>5<#9=k1:i5a15;90>=n>?0;6)?;a;4g?k7313?07d8::18'51g=>m1e=9756:9j21<72-;?m78k;o37=?1<3`<86=4+15c92a=i9=31465f6383>!73i3<o7c?;9;;8?l06290/=9o56e9m51?=i21b:=4?:%37e?0c3g;?57l4;h7f>5<#9=k1:i5a15;9g>=n=m0;6)?;a;4g?k7313n07d;l:18'51g=>m1e=975e:9j1g<72-;?m78k;o37=?`<3`?j6=4+15c92a=i9=31==54i4;94?"6<h0=h6`>48825>=n=10;6)?;a;4g?k7313;976g:7;29 42f2?n0b<:6:018?l31290/=9o56e9m51?=9=10e8;50;&20d<1l2d:844>5:9j36<72-;?m78k;o37=?7132c<>7>5$06b>3b<f8>26<94;h52>5<#9=k1:i5a15;95==<a>:1<7*>4`85`>h6<00:565f6g83>!73i3<o7c?;9;3b?>o1m3:1(<:n:7f8j42>28h07d8n:18'51g=>m1e=9751b98m0`=83.:8l49d:l20<<6l21b994?:%37e?0c3g;?57?j;:k67?6=,8>j6;j4n06:>4`<3ty:894?:c7x94132o801<;i:0g8943a2;801<;i:338943a2;:01<;i:0c8943a28301<;i:3f8943a2;l01<;i:3;8943a2;201<;i:9f8943a21i01<;i:9c8943a21301<;i:9:8943a21=01<;i:948943a21?01<;i:968943a21901<;i:908943a21;01<;i:6d8943a2>o01<;i:6f8943a2>i01<;i:6`8943a2>k01<;i:6;8943a2>201<;i:658943a2><01<;i:868943a20901<;i:8f8943a20i01<;i:8`8943a28>o70?:f;37b>;6=o0:9=5217295`=:9?:1>?52172964=:9?:1>=5217295d=:9?:1=45217296a=:9?:1>k5217296<=:9?:1>5521729<a=:9?:14n521729<d=:9?:144521729<==:9?:14:521729<3=:9?:148521729<1=:9?:14>521729<7=:9?:14<5217293c=:9?:1;h5217293a=:9?:1;n5217293g=:9?:1;l5217293<=:9?:1;552172932=:9?:1;;521729=1=:9?:15>521729=a=:9?:15n521729=g=:9?:1=9j4=043>42a34;=<7?:0:?224<6m27::<4=2:?224<5927::<4=0:?224<6i27::<4>9:?224<5l27::<4=f:?224<5127::<4=8:?224<?l27::<47c:?224<?i27::<479:?224<?027::<477:?224<?>27::<475:?224<?<27::<473:?224<?:27::<471:?224<0n27::<48e:?224<0l27::<48c:?224<0j27::<48a:?224<0127::<488:?224<0?27::<486:?224<><27::<463:?224<>l27::<46c:?224<>j27::<4>4e9>537=9=l01<8>:073?871:3;n70?92;01?871:38:70?92;03?871:3;j70?92;3:?871:38o70?92;0e?871:38270?92;0;?871:3<h70?92;4a?871:3<270?92;40?871:3<970?92;42?871:3?j70?92;7:?871:3?370?92;52?871:3=;70?92;4e?871:32o70?92;:`?871:32j70?92;::?871:32370?92;:4?871:32=70?92;:6?871:32?70?92;:0?871:32970?92;:2?871:3=m70?92;5f?871:3=o70?92;5`?871:3=i70?92;5b?871:3=270?92;5;?871:3=<70?92;55?871:33?70?92;;0?871:33970?92;;2?871:33;70?92;;;?871:33<70?92;;5?871:33>70?92;;g?871:33h70?92;;a?871:33j70?92;37`>;6>;0:8k521709506<uz8h;7>52z\1g2=:9<l1o<5rs3a;>5<5sW8h463>5g8`6>{t:m91<7<t^3f0?872n3io7p}=dd83>7}Y:mo01<;i:e:8yv4b:3:1>vP=e39>50`=lj1v?k<:181[4b;27:9k4kd:p6`2=838pR?k;;<36b?bb3ty9i84?:3y]6`3<58?m6ih4}r0f2?6=:rT9i;5214d9a==z{;o<6=4={_0f3>;6>90h=6s|2b;94?4|V;i270?90;a1?xu5kh0;6?uQ2bc894072jn0q~<lb;296~X5kk16=;>5d99~w7ed2909wS<lc:?225<ck2wx>nj50;0xZ7ec34;=<7jk;|q1g`<72;qU>nk4=043>ac<uz8hj7>52z\1gc=:9?:1hk5rs3f3>5<5sW8o<63>618f<>{t:m;1<7<t^3f2?87193i:7p}=d383>7}Y:m801<8>:b08yv4c<3:1>vP=d59>537=km1v?j::181[4c=27::<4k8:p6a0=838pR?j9;<355?bd3ty9h:4?:3y]6a1<58<:6ij4}r0g<?6=:rT9h5521739``=z{;n26=4={_0g=>;6>80oj6s|2ec94?4|V;nj70?91;g;?xu5lk0;6?uQ2e`894052j;0q~<kc;296~X5lj16=;<5c39~w7bc2909wS<kd:?227<dl2wx>ih50;0xZ7ba34;=>7j7;|q1a5<72;qU>h>4=041>ae<uz8?:7>52z\103=:9<l15k5rs364>5<5sW8?;63>5g8b4>{t:?l1<7<t^34e?872n3ki7p}=7`83>7}Y:>k01<;i:c48yv4?=3:1>vP=849>50`=jh1v?7?:181[4>827:9k4mb:p6<d=838pR?7m;<36b?dd3ty9m;4?:3y]6d0<58?m6oj4}r0a5?6=:rT9n<5214d9a6=z{;hh6=4={_0ag>;6>902j6s|25:94?4|V;>370?90;c3?xu5=:0;6?uQ241894072hh0q~<:e;296~X5=l16=;>5b79~w70?2909wS<98:?225<ei2wx>;750;0xZ70>34;=<7lm;|q12d<72;qU>;o4=043>ge<uz8=n7>52z\12g=:9?:1ni5rs34`>5<5sW8=o63>618f7>{t:?n1<7<t^34g?871933m7p}=6d83>7}Y:?o01<8>:`28yv4083:1>vP=719>537=ik1v?9>:181[40927::<4m6:p624=838pR?9=;<355?df3ty9;>4?:3y]625<58<:6ol4}r040?6=:rT9;9521739ff=z{;=>6=4={_041>;6>80ih6s|26494?4|V;==70?91;g0?xu5?>0;6?uQ2658940520l0q~<88;296~X5?116=;<5a19~w71>2909wS<89:?227<fj2wx>:l50;0xZ71e34;=>7l9;|q13f<72;qU>:m4=041>gg<uz8<h7>52z\13a=:9<l1nh5rs35f>5<5sW8<i63>5g8ab>{t:>l1<7<t^35e?872n3k:7p}=8183>7}Y:1:01<;i:`08yv4?93:1>vP=809>50`=i:1v?6=:181[4?:27:9k4n4:p6=5=838pR?6<;<36b?g23ty9494?:3y]6=2<58?m6l84}r0;2?6=:rT94;5214d9a1=z{;2<6=4={_0;3>;6>90ii6s|29:94?4|V;2370?90;`e?xu5000;6?uQ29;894072h;0q~<7a;296~X50h16=;>5a39~w7>e2909wS<7b:?225<f;2wx>5m50;0xZ7>d34;=<7o;;|q1<a<72;qU>5j4=043>d3<uz83i7>52z\1<`=:9?:1m;5rs3:e>5<5sW83j63>618f0>{t:0;1<7<t^3;2?87193hn7p}=9383>7}Y:0801<8>:cd8yv4>;3:1>vP=929>537=i81v?7;:181[4><27::<4n2:p6<3=838pR?7:;<355?g43ty95;4?:3y]6<0<58<:6l:4}r0:3?6=:rT95:521739e0=z{;336=4={_0:<>;6>80j:6s|28;94?4|V;3270?91;g7?xu51h0;6?uQ28c894052ko0q~<6c;296~X51j16=;<5bg9~w7?c2909wS<6d:?227<f92wx>4k50;0xZ7?b34;=>7o=;|q1=c<72;qU>4h4=041>d5<uz8j<7>52z\1e5=:9<l1m:5rs3c2>5<5sW8j=63>5g8b<>{t:h81<7<t^3c1?872n3k27p}=a283>7}Y:h901<;i:`c8yv4f<3:1>vP=a59>50`=ij1v?o::181[4f=27:9k4nd:p6d1=838pR?o8;<36b?gb3ty9m54?:3y]6d><58?m6lh4}r0b=?6=:rT9m45214d9a0=z{;kj6=4={_0be>;6>90j;6s|2``94?4|V;ki70?90;c;?xu5ij0;6?uQ2`a894072h30q~<nd;296~X5im16=;>5a`9~w7gb2909wS<ne:?225<fk2wx>lh50;0xZ7ga34;=<7ok;|q1f5<72;qU>o>4=043>dc<uz8i>7>52z\1f7=:9?:1mk5rs3`0>5<5sW8i?63>618f1>{t:k>1<7<t^3`7?87193k<7p}=b483>7}Y:k?01<8>:`:8yv4e>3:1>vP=b79>537=i01v?l8:181[4e?27::<4na:p6g>=838pR?l7;<355?gd3ty9n44?:3y]6g?<58<:6lj4}r0ae?6=:rT9nl521739e`=z{;hi6=4={_0af>;6>80jj6s|2cf94?4|V;ho70?91;g6?xu5jl0;6?uQ2cg894052h=0q~<mf;296~X5jo16=;<5a99~w7e72909wS<l0:?227<f12wx>n?50;0xZ7e634;=>7on;|q1g7<72;qU>n<4=041>de<uz8h?7>52z\1g6=:9<l1n=5rs3a7>5<5sW8h863>5g8a5>{t:j?1<7<t^3a6?872n3h97p}=c783>7}Y:j<01<;i:c18yv4313:1>vP=489>50`=j=1v?:n:181[43i27:9k4m5:p61d=838pR?:m;<36b?d03ty98n4?:3y]61e<58?m6o64}r07`?6=:rT98i5214d9a3=z{;>n6=4={_07a>;6>90i<6s|25d94?4|V;>m70?90;`2?xu5=90;6?uQ242894072k80q~<:1;296~X5=816=;>5b29~w7352909wS<:2:?225<e<2wx>8:50;0xZ73334;=<7l:;|q110<72;qU>8;4=043>g1<uz8>:7>52z\113=:9?:1n55rs374>5<5sW8>;63>618f2>{t:<21<7<t^37;?87193h;7p}=5883>7}Y:<301<8>:c38yv42i3:1>vP=5`9>537=j;1v?;m:181[42j27::<4m3:p60e=838pR?;l;<355?d33ty99i4?:3y]60b<58<:6o;4}r06b?6=:rT99k521739f2=z{;<;6=4={_054>;6>80i46s|27394?4|V;<:70?91;g5?xu5>;0;6?uQ270894052k:0q~<93;296~X5>:16=;<5b09~w7032909wS<94:?227<e:2wx>;;50;0xZ70234;=>7l<;|q123<72;qU>;84=041>g2<uz8n57>59z\1a<=:9<l1ih5214d951c<58<;6hk4=043>42b34;==7kj;<355?73m27::?4je:?227<6<l1v<o<:186[7f;27:9k4;6:?225<3>27::<4;6:?227<3>2wx>h650;7xZ7c?34;>j7kk;<354?cc34;==7kk;<356?cc3ty:m?4?:4y]5d4<58?m69?4=043>17<58<:69?4=041>17<uz8997>52z\160=:9<l1;95rs305>5<5sW89:63>5g841>{t::;1<7<t^312?872n32;7p}=3b83>7}Y::i01<;i:9`8yv4383:1>vP=419>50`=0o1v?:>:181[43927:9k460:p614=838pR?:=;<36b??63ty98>4?:3y]615<58?m64<4}r070?6=:rT9895214d9=d=z{;>>6=4={_071>;6>90<86s|23594?4|V;8<70?90;56?xu5:10;6?uQ23:8940721:0q~<=9;296~X5:016=;>58c9~w74f2909wS<=a:?225<?n2wx>?l50;0xZ74e34;=<77?;|q16f<72;qU>?m4=043><7<uz89h7>52z\16a=:9?:15?5rs30f>5<5sW89i63>618:e>{t:;l1<7<t^30e?87193=?7p}=3183>7}Y:::01<8>:678yv44:3:1>vP=339>537=091v?=<:181[44;27::<47b:p662=838pR?=;;<355?>a3ty9?84?:3y]663<58<:64>4}r002?6=:rT9?;521739=4=z{;9<6=4={_003>;6>802>6s|22:94?4|V;9370?91;;b?xu5;00;6?uQ22;894052>>0q~<<a;296~X5;h16=;<5749~w75e2909wS<<b:?227<?82wx>>j50;0xZ75c34;=>76m;|q17`<72;qU>>k4=041>=`<uz;397>55z\2<0=:9<l1?=52172975=:9?;1?=52170975=z{82=6=4:{_3;2>;6=o08=63>61805>;6>808=63>63805>{t91=1<7;t^0:4?872n39970?90;11?871939970?92;11?xu6010;68uQ19:8943a2:901<8?:21894062:901<8=:218yv7?13:19vP>889>50`=;=16=;>5359>537=;=16=;<5359~w4>f290>wS?7a:?21c<4=27::=4<5:?224<4=27::?4<5:p5=d=83?pR<6m;<36b?5134;=<7=9;<355?5134;=>7=9;|q2<f<72<qU=5m4=07e>7g<58<;6?o4=042>7g<58<96?o4}r3;`?6==rT:4i5214d96g=:9?:1>o5217396g=:9?81>o5rs0:f>5<2sW;3i63>5g81g>;6>909o63>6081g>;6>;09o6s|2df94?76sW8nh63>5g822a=:9<l1=;m4=07e>40e34;>j7?9a:?225<6>m16=;>517a8940728<i70?90;35e>;6>80::i52173953e<58<:6<8m;<355?71i27::?4>6e9>534=9?i01<8=:04a?871:3;=m6s|1`694?4|V8k?70?:f;70?xu6i<0;6?uQ1`78943a2<>0q~?ld;296~X6km16=8h55g9~w4b?2909wS?k8:?21c<1i2wx=h=50;0xZ4c434;>j78j;|q2a`<72;qU=hk4=07e>3`<uz;m57>52z\2b<=:9<l1;=5rs327>5<5sW8;863>5g845>{t:9l1<7<t^32e?872n33>7p}=1`83>7}Y:8k01<8?:418yv7f>3:1>vP>a79>536===1v<l>:181[7e927::=4:f:p5ge=838pR<ll;<354?0f3ty:o;4?:3y]5f0<58<;6;k4}r3`3?6=:rT:o:5217292c=z{8i36=4={_3`<>;6>90<<6s|1b;94?4|V8i270?90;52?xu6kh0;6?uQ1bc8940720?0q~?lb;296~X6kk16=;?5529~w4ed2909wS?lc:?224<2<2wx=nk50;0xZ4eb34;==7;i;|q2gc<72;qU=nh4=042>3g<uz;o<7>52z\2`5=:9?;1:h5rs0f2>5<5sW;o=63>6085b>{t9m81<7<t^0f1?87193=;7p}>d283>7}Y9m901<8>:638yv7c<3:1>vP>d59>537=1<1v<j::181[7c=27::?4:3:p5a0=838pR<j9;<356?333ty:h:4?:3y]5a1<58<968h4}r3g=?6=:rT:h45217092d=z{8nj6=4={_3ge>;6>;0=i6s|1e`94?4|V8ni70?:f;51?xu6lj0;6?uQ1ea8943a2>90q~?kd;296~X6lm16=8h5549~w4bb2909wS?ke:?21c<2>2wx=ih50;0xZ4ba34;>j7;8;|q2a5<72;qU=h>4=07e>0><uz;n=7>52z\2a4=:9<l1945rs0g1>5<5sW;n>63>5g86e>{t9l>1<7<t^0g7?872n33=7p}>e483>7}Y9l?01<8?:608yv7b>3:1>vP>e79>536=?:1v<k8:181[7b?27::=4:5:p5`>=838pR<k7;<354?313ty:i44?:3y]5`?<58<;6894}r3fe?6=:rT:il5217291==z{8oi6=4={_3ff>;6>90>56s|1da94?4|V8oh70?90;7b?xu6mm0;6?uQ1df8940720<0q~?jf;296~X6mo16=;?5739~w4`72909wS?i0:?224<0;2wx=k?50;0xZ4`634;==7;:;|q2b7<72;qU=k<4=042>00<uz;m?7>52z\2b6=:9?;19:5rs0d7>5<5sW;m863>6086<>{t9o?1<7<t^0d6?87193?27p}>f783>7}Y9o<01<8>:4c8yv7a?3:1>vP>f69>537=1?1v<h7:181[7a027::?482:p5cg=838pR<hn;<356?143ty:jo4?:3y]5cd<58<968;4}r3eg?6=:rT:jn52170913=z{8lo6=4={_3e`>;6>;0>;6s|1gg94?4|V8ln70?:f;7a?xu6no0;6?uQ1gd8943a2<i0q~<?0;296~X58916=8h55e9~w7662909wS<?1:?21c<2m2wx>=<50;0xZ76534;>j78?;|q146<72;qU>==4=07e>37<uz8;97>52z\140=:9<l1:?5rs325>5<5sW8;:63>5g857>{t:9=1<7<t^324?872n33<7p}=0983>7}Y:9201<8?:4`8yv4713:1>vP=089>536==j1v?>n:181[47i27::=4:d:p65d=838pR?>m;<354?3b3ty9<n4?:3y]65e<58<;6;>4}r03`?6=:rT9<i52172924=z{;:n6=4={_03a>;6>90=>6s|20294?4|V;;;70?90;40?xu5980;6?uQ2038940720=0q~<>2;296~X59;16=;?55c9~w7742909wS<>3:?224<2k2wx><:50;0xZ77334;==7;k;|q150<72;qU><;4=042>0c<uz8::7>52z\153=:9?;1:=5rs334>5<5sW8:;63>60855>{t:821<7<t^33;?87193<97p}=1883>7}Y:8301<8>:718yv46j3:1>vP=1c9>537=1>1v??l:181[46k27::?4:b:p64b=838pR??k;<356?3d3ty9=h4?:3y]64c<58<968j4}r02b?6=:rT9=k5217091`=z{;8;6=4={_014>;6>;0=<6s|23394?4|V;8:70?:f;47?xu5:;0;6?uQ2308943a2??0q~<=3;296~X5::16=8h5679~w7432909wS<=4:?21c<1?2wx=l950;0xZ4g034;>j787;|q2e=<72;qU=l64=07e>3?<uz;j57>52z\2e<=:9<l1:o5rs0cb>5<5sW;jm63>5g85g>{t9hh1<7<t^0ca?872n3337p}>ab83>7}Y9hi01<8?:768yv7fl3:1>vP>ae9>536=><1v<oj:181[7fm27::=496:p5d`=838pR<oi;<354?003ty:n=4?:3y]5g6<58<;6;64}r3a6?6=:rT:n?5217292<=z{8h86=4={_3a7>;6>90=n6s|1c694?4|V8h?70?90;4`?xu6j<0;6?uQ1c7894072020q~?m6;296~X6j?16=;?5659~w4d02909wS?m7:?224<1=2wx=o650;0xZ4d?34;==789;|q2f<<72;qU=o74=042>31<uz;im7>52z\2fd=:9?;1:55rs0`a>5<5sW;in63>6085=>{t9kn1<7<t^0`g?87193<i7p}>bd83>7}Y9ko01<8>:7a8yv7en3:1>vP>bg9>537=111v<m?:181[7d827::?494:p5f7=838pR<m>;<356?023ty:o?4?:3y]5f4<58<96;84}r3`7?6=:rT:o>52170922=z{8i?6=4={_3`0>;6>;0=46s|16a94?3|V8=h70?:f;00?871838870?91;00?871:3887p}>7e83>0}Y9>n01<;i:36894072;>01<8>:36894052;>0q~?8e;291~X6?l16=8h5249>536=:<16=;?5249>534=:<1v<9i:186[70n27:9k4=6:?225<5>27::<4=6:?227<5>2wx=5>50;7xZ4>734;>j7<8;<354?4034;==7<8;<356?403ty:4<4?:4y]5=7<58?m6<l4=043>4d<58<:6<l4=041>4d<uz;3>7>55z\2<7=:9<l1=n5217295f=:9?;1=n5217095f=z{8286=4:{_3;7>;6=o0:h63>6182`>;6>80:h63>6382`>{t:lh1<7?>{_0ff>;6=o0::55214d9531<58?m6<89;<36b?71=27::=4>699>536=9?=01<8?:045?87183;=963>60822==:9?;1=;94=042>40134;==7?95:?227<6>116=;<51758940528<=70?92;351>{zf=?26=4>{I357>{i<<k1<7?tH040?xh3=k0;6<uG1718yk22k3:1=vF>629~j13c290:wE?93:m00c=83;pD<8<;|l71c<728qC=;=4}o654?6=9rB::>5rn542>5<6sA;=?6sa47094?7|@8<87p`;6283>4}O9?90qc:94;295~N6>:1vb98::182M71;2we8;850;3xL4043td?::4?:0yK535<ug>=47>51zJ226=zf=<26=4>{I357>{i<?k1<7?tH040?xh3>k0;6<uG1718yk21k3:1=vF>629~j10c290:wE?93:m03c=83;pD<8<;|l72c<728qC=;=4}o644?6=9rB::>5rn552>5<6sA;=?6sa46094?7|@8<87p`;7283>4}O9?90qc:84;295~N6>:1vb99::182M71;2we8:850;3xL4043td?;:4?:0yK535<ug><47>51zJ226=zf==26=4>{I357>{i<>k1<7?tH040?xh3?k0;6<uG1718yk20k3:1=vF>629~j11c290:wE?93:m02c=83;pD<8<;|l73c<728qC=;=4}o6;4?6=9rB::>5rn5:2>5<6sA;=?6sa49094?7|@8<87p`;8283>4}O9?90qc:74;295~N6>:1vb96::182M71;2we85850;3xL4043td?4:4?:0yK535<ug>347>51zJ226=zf=226=4>{I357>{i<1k1<7?tH040?xh30k0;6<uG1718yk2?k3:1=vF>629~j1>c290:wE?93:m0=c=83;pD<8<;|l7<c<728qC=;=4}o6:4?6=9rB::>5rn5;2>5<6sA;=?6sa48094?7|@8<87p`;9283>4}O9?90qc:64;295~N6>:1vb97::182M71;2we84850;3xL4043td?5:4?:0yK535<ug>247>51zJ226=zf=326=4>{I357>{i<0k1<7?tH040?xh31k0;6<uG1718yk2>k3:1=vF>629~j1?c290:wE?93:m0<c=83;pD<8<;|l7=c<728qC=;=4}o6b4?6=9rB::>5rn5c2>5<6sA;=?6sa4`094?7|@8<87p`;a283>4}O9?90qc:n4;295~N6>:1vb9o::182M71;2we8l850;3xL4043td?m:4?:0yK535<ug>j47>51zJ226=zf=k26=4>{I357>{i<hk1<7?tH040?xh3ik0;6<uG1718yk2fk3:1=vF>629~j1gc290:wE?93:m0dc=83;pD<8<;|l7ec<728qC=;=4}o6a4?6=9rB::>5rn5`2>5<6sA;=?6sa4c094?7|@8<87p`;b283>4}O9?90qc:m4;295~N6>:1vb9l::182M71;2we8o850;3xL4043td?n:4?:0yK535<ug>i47>51zJ226=zf=h26=4>{I357>{i<kk1<7?tH040?xh3jk0;6<uG1718yk2ek3:1=vF>629~j1dc290:wE?93:m0gc=83;pD<8<;|l7fc<728qC=;=4}o6`4?6=9rB::>5rn5a2>5<6sA;=?6sa4b094?7|@8<87p`;c283>4}O9?90qc:l4;295~N6>:1vb9m::182M71;2we8n850;3xL4043td?o:4?:0yK535<ug>h47>51zJ226=zf=i26=4>{I357>{i<jk1<7?tH040?xh3kk0;6<uG1718yk2dk3:1=vF>629~j1ec290:wE?93:m0fc=83;pD<8<;|l7gc<728qC=;=4}o6g4?6=9rB::>5rn5f2>5<6sA;=?6sa4e094?7|@8<87p`;d283>4}O9?90qc:k4;295~N6>:1vb9j::182M71;2we8i850;3xL4043td?h:4?:0yK535<ug>o47>51zJ226=zf=n26=4>{I357>{i<mk1<7?tH040?xh3lk0;6<uG1718yk2ck3:1=vF>629~j1bc290:wE?93:m0ac=83;pD<8<;|l7`c<728qC=;=4}o6f4?6=9rB::>5rn5g2>5<6sA;=?6sa4d094?7|@8<87p`;e283>4}O9?90qc:j4;295~N6>:1vb9k::182M71;2we8h850;3xL4043td?i:4?:0yK535<ug>n47>51zJ226=zf=o26=4>{I357>{i<lk1<7?tH040?xh3mk0;6<uG1718yk2bk3:1=vF>629~j1cc290:wE?93:m0`c=83;pD<8<;|l7ac<728qC=;=4}o6e4?6=9rB::>5rn5d2>5<6sA;=?6sa4g094?7|@8<87p`;f283>4}O9?90qc:i4;295~N6>:1vb9h::182M71;2we8k850;3xL4043td?j:4?:0yK535<ug>m47>51zJ226=zf=l26=4>{I357>{i<ok1<7?tH040?xh3nk0;6<uG1718yk2ak3:1=vF>629~j1`c290:wE?93:m0cc=83;pD<8<;|l7bc<728qC=;=4}o734?6=9rB::>5rn422>5<6sA;=?6sa51094?7|@8<87p`:0283>4}O9?90qc;?4;295~N6>:1vb8>::182M71;2we9=850;3xL4043td><:4?:0yK535<ug?;47>51zJ226=zf<:26=4>{I357>{i=9k1<7?tH040?xh28k0;6<uG1718yk37k3:1=vF>629~j06c290:wE?93:m15c=83;pD<8<;|l64c<728qC=;=4}o724?6=9rB::>5rn432>5<6sA;=?6sa50094?7|@8<87p`:1283>4}O9?90qc;>4;295~N6>:1vb8?::182M71;2we9<850;3xL4043td>=:4?:0yK535<ug?:47>51zJ226=zf<;26=4>{I357>{i=8k1<7?tH040?xh29k0;6<uG1718yk36k3:1=vF>629~j07c290:wE?93:m14c=83;pD<8<;|l65c<728qC=;=4}o714?6=9rB::>5rn402>5<6sA;=?6sa53094?7|@8<87p`:2283>4}O9?90qc;=4;295~N6>:1vb8<::182M71;2we9?850;3xL4043td>>:4?:0yK535<ug?947>51zJ226=zf<826=4>{I357>{i=;k1<7?tH040?xh2:k0;6<uG1718yk35k3:1=vF>629~j04c290:wE?93:m17c=83;pD<8<;|l66c<728qC=;=4}o704?6=9rB::>5rn412>5<6sA;=?6sa52094?7|@8<87p`:3283>4}O9?90qc;<4;295~N6>:1vb8=::182M71;2we9>850;3xL4043td>?:4?:0yK535<ug?847>51zJ226=zf<926=4>{I357>{i=:k1<7?tH040?xh2;k0;6<uG1718yk34k3:1=vF>629~j05c290:wE?93:m16c=83;pD<8<;|l67c<728qC=;=4}o774?6=9rB::>5rn462>5<6sA;=?6sa55094?7|@8<87p`:4283>4}O9?90qc;;4;295~N6>:1vb8:::182M71;2we99850;3xL4043td>8:4?:0yK535<ug??47>51zJ226=zf<>26=4>{I357>{i==k1<7?tH040?xh2<k0;6<uG1718yk33k3:1=vF>629~j02c290:wE?93:m11c=83;pD<8<;|l60c<728qC=;=4}o764?6=9rB::>5rn472>5<6sA;=?6sa54094?7|@8<87p`:5283>4}O9?90qc;:4;295~N6>:1vb8;::182M71;2we98850;3xL4043td>9:4?:0yK535<ug?>47>51zJ226=zf<?26=4>{I357>{i=<k1<7?tH040?xh2=k0;6<uG1718yk32k3:1=vF>629~j03c290:wE?93:m10c=83;pD<8<;|l61c<728qC=;=4}o754?6=9rB::>5rn442>5<6sA;=?6sa57094?7|@8<87p`:6283>4}O9?90qc;94;295~N6>:1vb88::182M71;2we9;850;3xL4043td>::4?:0yK535<ug?=47>51zJ226=zf<<26=4>{I357>{i=?k1<7?tH040?xh2>k0;6<uG1718yk31k3:1=vF>629~j00c290:wE?93:m13c=83;pD<8<;|l62c<728qC=;=4}o744?6=9rB::>5rn452>5<6sA;=?6sa56094?7|@8<87p`:7283>4}O9?90qc;84;295~N6>:1vb89::182M71;2we9:850;3xL4043td>;:4?:0yK535<ug?<47>51zJ226=zf<=26=4>{I357>{i=>k1<7?tH040?xh2?k0;6<uG1718yk30k3:1=vF>629~j01c290:wE?93:m12c=83;pD<8<;|l63c<728qC=;=4}o7;4?6=9rB::>5rn4:2>5<6sA;=?6sa59094?7|@8<87p`:8283>4}O9?90qc;74;295~N6>:1vb86::182M71;2we95850;3xL4043td>4:4?:0yK535<ug?347>51zJ226=zf<226=4>{I357>{i=1k1<7?tH040?xh20k0;6<uG1718yk3?k3:1=vF>629~j0>c290:wE?93:m1=c=83;pD<8<;|l6<c<728qC=;=4}o7:4?6=9rB::>5rn4;2>5<6sA;=?6sa58094?7|@8<87p`:9283>4}O9?90qc;64;295~N6>:1vb87::182M71;2we94850;3xL4043td>5:4?:0yK535<ug?247>51zJ226=zf<326=4>{I357>{i=0k1<7?tH040?xh21k0;6<uG1718yk3>k3:1=vF>629~j0?c290:wE?93:m1<c=83;pD<8<;|l6=c<728qC=;=4}o7b4?6=9rB::>5rn4c2>5<6sA;=?6sa5`094?7|@8<87p`:a283>4}O9?90qc;n4;295~N6>:1vb8o::182M71;2we9l850;3xL4043td>m:4?:0yK535<ug?j47>51zJ226=zf<k26=4>{I357>{i=hk1<7?tH040?xh2ik0;6<uG1718yk3fk3:1=vF>629~j0gc290:wE?93:m1dc=83;pD<8<;|l6ec<728qC=;=4}o7a4?6=9rB::>5rn4`2>5<6sA;=?6sr}|BCG~17j3ioi;<=0bCDG}7uIJ[wpNO
\ No newline at end of file
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2011 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file blk_mem_circ_buff_v6_4.vhd when simulating
-- the core, blk_mem_circ_buff_v6_4. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY blk_mem_circ_buff_v6_4 IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END blk_mem_circ_buff_v6_4;
ARCHITECTURE blk_mem_circ_buff_v6_4_a OF blk_mem_circ_buff_v6_4 IS
-- synthesis translate_off
COMPONENT wrapped_blk_mem_circ_buff_v6_4
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_blk_mem_circ_buff_v6_4 USE ENTITY XilinxCoreLib.blk_mem_gen_v6_2(behavioral)
GENERIC MAP (
c_addra_width => 8,
c_addrb_width => 10,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_family => "spartan6",
c_has_axi_id => 0,
c_has_ena => 1,
c_has_enb => 1,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 1,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file_name => "no_coe_file_loaded",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 0,
c_mem_type => 2,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 256,
c_read_depth_b => 1024,
c_read_width_a => 128,
c_read_width_b => 32,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 0,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 256,
c_write_depth_b => 1024,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 128,
c_write_width_b => 32,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_blk_mem_circ_buff_v6_4
PORT MAP (
clka => clka,
ena => ena,
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => clkb,
enb => enb,
web => web,
addrb => addrb,
dinb => dinb,
doutb => doutb
);
-- synthesis translate_on
END blk_mem_circ_buff_v6_4_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2011 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Generated from core with identifier: xilinx.com:ip:blk_mem_gen:6.2 --
-- --
-- The Xilinx LogiCORE IP Block Memory Generator replaces the Dual Port --
-- Block Memory and Single Port Block Memory LogiCOREs, but is not a --
-- direct drop-in replacement. It should be used in all new Xilinx --
-- designs. The core supports RAM and ROM functions over a wide range of --
-- widths and depths. Use this core to generate block memories with --
-- symmetric or asymmetric read and write port widths, as well as cores --
-- which can perform simultaneous write operations to separate --
-- locations, and simultaneous read operations from the same location. --
-- For more information on differences in interface and feature support --
-- between this core and the Dual Port Block Memory and Single Port --
-- Block Memory LogiCOREs, please consult the data sheet. --
--------------------------------------------------------------------------------
-- Interfaces:
-- AXI_SLAVE_S_AXI
-- AXILite_SLAVE_S_AXI
-- The following code must appear in the VHDL architecture header:
------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
COMPONENT blk_mem_circ_buff_v6_4
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT;
-- COMP_TAG_END ------ End COMPONENT Declaration ------------
-- The following code must appear in the VHDL architecture
-- body. Substitute your own instance name and net names.
------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
your_instance_name : blk_mem_circ_buff_v6_4
PORT MAP (
clka => clka,
ena => ena,
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => clkb,
enb => enb,
web => web,
addrb => addrb,
dinb => dinb,
doutb => doutb
);
-- INST_TAG_END ------ End INSTANTIATION Template ------------
-- You must compile the wrapper file blk_mem_circ_buff_v6_4.vhd when simulating
-- the core, blk_mem_circ_buff_v6_4. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
##############################################################
#
# Xilinx Core Generator version 13.3
# Date: Thu Nov 3 16:19:30 2011
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:blk_mem_gen:6.2
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = false
SET busformat = BusFormatParenNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Synplicity
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -2
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Block_Memory_Generator xilinx.com:ip:blk_mem_gen:6.2
# END Select
# BEGIN Parameters
CSET additional_inputs_for_power_estimation=false
CSET algorithm=Minimum_Area
CSET assume_synchronous_clk=false
CSET axi_id_width=4
CSET axi_slave_type=Memory_Slave
CSET axi_type=AXI4_Full
CSET byte_size=9
CSET coe_file=no_coe_file_loaded
CSET collision_warnings=ALL
CSET component_name=blk_mem_circ_buff_v6_4
CSET disable_collision_warnings=false
CSET disable_out_of_range_warnings=false
CSET ecc=false
CSET ecctype=No_ECC
CSET enable_a=Use_ENA_Pin
CSET enable_b=Use_ENB_Pin
CSET error_injection_type=Single_Bit_Error_Injection
CSET fill_remaining_memory_locations=false
CSET interface_type=Native
CSET load_init_file=false
CSET memory_type=True_Dual_Port_RAM
CSET operating_mode_a=WRITE_FIRST
CSET operating_mode_b=WRITE_FIRST
CSET output_reset_value_a=0
CSET output_reset_value_b=0
CSET pipeline_stages=0
CSET port_a_clock=100
CSET port_a_enable_rate=100
CSET port_a_write_rate=50
CSET port_b_clock=100
CSET port_b_enable_rate=100
CSET port_b_write_rate=50
CSET primitive=8kx2
CSET read_width_a=128
CSET read_width_b=32
CSET register_porta_input_of_softecc=false
CSET register_porta_output_of_memory_core=false
CSET register_porta_output_of_memory_primitives=false
CSET register_portb_output_of_memory_core=false
CSET register_portb_output_of_memory_primitives=true
CSET register_portb_output_of_softecc=false
CSET remaining_memory_locations=0
CSET reset_memory_latch_a=false
CSET reset_memory_latch_b=false
CSET reset_priority_a=CE
CSET reset_priority_b=CE
CSET reset_type=SYNC
CSET softecc=false
CSET use_axi_id=false
CSET use_byte_write_enable=false
CSET use_error_injection_pins=false
CSET use_regcea_pin=false
CSET use_regceb_pin=false
CSET use_rsta_pin=false
CSET use_rstb_pin=false
CSET write_depth_a=256
CSET write_width_a=128
CSET write_width_b=32
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2011-03-11T08:24:14.000Z
# END Extra information
GENERATE
# CRC: e87268cc
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
<header>
<!-- ISE source project file created by Project Navigator. -->
<!-- -->
<!-- This file contains project source information including a list of -->
<!-- project source files, project and process properties. This file, -->
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
</header>
<version xil_pn:ise_version="13.3" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="blk_mem_circ_buff_v6_4.ngc" xil_pn:type="FILE_NGC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
</file>
<file xil_pn:name="blk_mem_circ_buff_v6_4.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="2"/>
</file>
</files>
<properties>
<property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
<property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Clock Enable" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Input Limit (4-40)" xil_pn:value="32" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Pterm Limit (3-56)" xil_pn:value="28" xil_pn:valueState="default"/>
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Compile CPLD Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile uni9000 (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Default Powerup Value of Registers" xil_pn:value="Low" xil_pn:valueState="default"/>
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
<property xil_pn:name="Device" xil_pn:value="xc6slx45t" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Essential Bits" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Exhaustive Fit Mode" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Function Block Input Limit (4-40)" xil_pn:value="38" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Fit Power Data" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Fit Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="HDL Equations Style" xil_pn:value="Source" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
<property xil_pn:name="I/O Voltage Standard" xil_pn:value="LVCMOS18" xil_pn:valueState="default"/>
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Stop View" xil_pn:value="Structural" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Template" xil_pn:value="Optimize Density" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|blk_mem_circ_buff_v6_4|blk_mem_circ_buff_v6_4_a" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="blk_mem_circ_buff_v6_4.vhd" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/blk_mem_circ_buff_v6_4" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Input and tristate I/O Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/>
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy CPLD" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Logic Optimization" xil_pn:value="Density" xil_pn:valueState="default"/>
<property xil_pn:name="Macro Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
<property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other CPLD Fitter Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Fit" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Place &amp; Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Programming Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Fit" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Timing Report Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="blk_mem_circ_buff_v6_4" xil_pn:valueState="default"/>
<property xil_pn:name="Output Slew Rate" xil_pn:value="Fast" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
<property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="blk_mem_circ_buff_v6_4_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="blk_mem_circ_buff_v6_4_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="blk_mem_circ_buff_v6_4_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="blk_mem_circ_buff_v6_4_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Preserve Unused Inputs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Fit" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Report Format" xil_pn:value="Summary" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
<property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Unused I/O Pad Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/>
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Fit" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Fit" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Data Gate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Direct Input for Input Registers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Clocks" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Output Enables" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Set/Reset" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Location Constraints" xil_pn:value="Always" xil_pn:valueState="default"/>
<property xil_pn:name="Use Multi-level Logic Optimization" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Timing Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="WYSIWYG" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
<property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
<property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="default"/>
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="XOR Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="blk_mem_circ_buff_v6_4" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostFitSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2011-11-03T17:20:47" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="C04346DDB7D4C8A3736523503E81A9A6" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
</properties>
<bindings/>
<libraries/>
<autoManagedFiles>
<!-- The following files are identified by `include statements in verilog -->
<!-- source files and are automatically managed by Project Navigator. -->
<!-- -->
<!-- Do not hand-edit this section, as it will be overwritten when the -->
<!-- project is analyzed based on files automatically identified as -->
<!-- include files. -->
</autoManagedFiles>
</project>
# Output products list for <blk_mem_circ_buff_v6_4>
blk_mem_circ_buff_v6_4.gise
blk_mem_circ_buff_v6_4.ngc
blk_mem_circ_buff_v6_4.vhd
blk_mem_circ_buff_v6_4.vho
blk_mem_circ_buff_v6_4.xco
blk_mem_circ_buff_v6_4.xise
blk_mem_circ_buff_v6_4_flist.txt
blk_mem_circ_buff_v6_4_ste/example_design/blk_mem_circ_buff_v6_4_top.ucf
blk_mem_circ_buff_v6_4_ste/example_design/blk_mem_circ_buff_v6_4_top.vhd
blk_mem_circ_buff_v6_4_ste/example_design/blk_mem_circ_buff_v6_4_top.xdc
blk_mem_circ_buff_v6_4_ste/example_design/bmg_wrapper.vhd
blk_mem_circ_buff_v6_4_ste/implement/implement.bat
blk_mem_circ_buff_v6_4_ste/implement/implement.sh
blk_mem_circ_buff_v6_4_ste/implement/planAhead_rdn.bat
blk_mem_circ_buff_v6_4_ste/implement/planAhead_rdn.sh
blk_mem_circ_buff_v6_4_ste/implement/planAhead_rdn.tcl
blk_mem_circ_buff_v6_4_ste/implement/synplify.prj
blk_mem_circ_buff_v6_4_ste/implement/xst.prj
blk_mem_circ_buff_v6_4_ste/implement/xst.scr
blk_mem_circ_buff_v6_4_xmdf.tcl
blk_mem_gen_ds512.pdf
blk_mem_gen_v6_2_readme.txt
summary.log
# The package naming convention is <core_name>_xmdf
package provide blk_mem_circ_buff_v6_4_xmdf 1.0
# This includes some utilities that support common XMDF operations
package require utilities_xmdf
# Define a namespace for this package. The name of the name space
# is <core_name>_xmdf
namespace eval ::blk_mem_circ_buff_v6_4_xmdf {
# Use this to define any statics
}
# Function called by client to rebuild the params and port arrays
# Optional when the use context does not require the param or ports
# arrays to be available.
proc ::blk_mem_circ_buff_v6_4_xmdf::xmdfInit { instance } {
# Variable containing name of library into which module is compiled
# Recommendation: <module_name>
# Required
utilities_xmdf::xmdfSetData $instance Module Attributes Name blk_mem_circ_buff_v6_4
}
# ::blk_mem_circ_buff_v6_4_xmdf::xmdfInit
# Function called by client to fill in all the xmdf* data variables
# based on the current settings of the parameters
proc ::blk_mem_circ_buff_v6_4_xmdf::xmdfApplyParams { instance } {
set fcount 0
# Array containing libraries that are assumed to exist
# Examples include unisim and xilinxcorelib
# Optional
# In this example, we assume that the unisim library will
# be available to the simulation and synthesis tool
utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4.ngc
utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4.vhd
utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4.vho
utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4.xco
utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4_ste/example_design/blk_mem_circ_buff_v6_4_top.ucf
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4_ste/example_design/blk_mem_circ_buff_v6_4_top.vhd
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4_ste/example_design/blk_mem_circ_buff_v6_4_top.xdc
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4_ste/example_design/bmg_wrapper.vhd
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4_ste/implement/implement.bat
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4_ste/implement/implement.sh
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4_ste/implement/planAhead_rdn.bat
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4_ste/implement/planAhead_rdn.sh
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4_ste/implement/planAhead_rdn.tcl
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4_ste/implement/synplify.prj
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4_ste/implement/xst.prj
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4_ste/implement/xst.scr
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_circ_buff_v6_4_xmdf.tcl
utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_ds512.pdf
utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v6_2_readme.txt
utilities_xmdf::xmdfSetData $instance FileSet $fcount type text
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path summary.log
utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module blk_mem_circ_buff_v6_4
incr fcount
}
# ::gen_comp_name_xmdf::xmdfApplyParams
Core name: Xilinx LogiCORE Block Memory Generator
Version: 6.2
Release Date: June 22, 2011
================================================================================
This document contains the following sections:
1. Introduction
2. New Features
3. Supported Devices
4. Resolved Issues
5. Known Issues
6. Technical Support
7. Core Release History
8. Legal Disclaimer
================================================================================
1. INTRODUCTION
For installation instructions for this release, please go to:
http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
For system requirements:
http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
This file contains release notes for the Xilinx LogiCORE IP Block Memory Generator v6.2
solution. For the latest core updates, see the product page at:
http://www.xilinx.com/products/ipcenter/Block_Memory_Generator.htm
2. NEW FEATURES
- ISE 13.2 software support
- Virtex-7L, Kintex-7L, Artix-7* and Zynq-7000* device support
3. SUPPORTED DEVICES
The following device families are supported by the core for this release.
Zynq-7000*
Virtex-7
Virtex-7 XT (7vx485t)
Virtex-7 -2L
Kintex-7
Kintex-7 -2L
Artix-7*
Virtex-6 XC CXT/LXT/SXT/HXT
Virtex-6 XQ LXT/SXT
Virtex-6 -1L XQ LXT/SXT
Spartan-6 XC LX/LXT
Spartan-6 XA
Spartan-6 XQ LX/LXT
Spartan-6 -1L XQ LX
Virtex-5 XC LX/LXT/SXT/TXT/FXT
Virtex-5 XQ LX/ LXT/SXT/FXT
Virtex-4 XC LX/SX/FX
Virtex-4 XQ LX/SX/FX
Virtex-4 XQR LX/SX/FX
Spartan-3 XC
Spartan-3 XA
Spartan-3A XC 3A / 3A DSP / 3AN DSP
Spartan-3A XA 3A / 3A DSP
Spartan-3E XC
Spartan-3E XA
*To access these devices in the ISE Design Suite, contact your Xilinx FAE.
4. RESOLVED ISSUES
The following issues are resolved in Block Memory Generator v6.2:
1. Core errors in NGDBuild when the depth is too large (especially for Spartan-6 devices)
Version Fixed: v6.2
- CR 587481
- AR 39718
5. KNOWN ISSUES
The following are known issues for v6.2 of this core at time of release:
1. Virtex-6 and Spartan-6: BRAM Memory collision error, when the user selects TDP (write_mode= Read First)
Work around: The user must review the possible scenarios that causes the collission and revise
their design to avoid those situations.
- CR588505
Note: Refer to UG383, 'Conflict Avoidance' section when using TDP Memory - with
Write Mode = Read First in conjunction with asynchronous clocking
2. Power estimation figures in the datasheet are preliminary for Virtex-5 and Spartan-3.
3. Core does not generate for large memories. Depending on the
machine the ISE CORE Generator software runs on, the maximum size of the memory that
can be generated will vary. For example, a Dual Pentium-4 server
with 2 GB RAM can generate a memory core of size 1.8 MBits or 230 KBytes
- CR 415768
- AR 24034
The most recent information, including known issues, workarounds, and resolutions for
this version is provided in the IP Release Notes User Guide located at
www.xilinx.com/support/documentation/user_guides/xtp025.pdf
6. TECHNICAL SUPPORT
To obtain technical support, create a WebCase at www.xilinx.com/support.
Questions are routed to a team with expertise using this product.
Xilinx provides technical support for use of this product when used
according to the guidelines described in the core documentation, and
cannot guarantee timing, functionality, or support of this product for
designs that do not follow specified guidelines.
7. CORE RELEASE HISTORY
Date By Version Description
================================================================================
06/22/2011 Xilinx, Inc. 6.2 ISE 13.2 support;Virtex-7L,Kintex-7L,Artix7 and Zynq-7000* device support;
03/01/2011 Xilinx, Inc. 6.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; AXI4/AXI4-Lite Support
09/21/2010 Xilinx, Inc. 4.3 ISE 12.3 support
07/23/2010 Xilinx, Inc. 4.2 ISE 12.2 support
04/19/2010 Xilinx, Inc. 4.1 ISE 12.1 support
03/09/2010 Xilinx, Inc. 3.3 rev 2 Fix for V6 Memory collision issue
12/02/2009 Xilinx, Inc. 3.3 rev 1 ISE 11.4 support; Spartan-6 Low Power
Device support; Automotive Spartan 3A
DSP device support
09/16/2009 Xilinx, Inc. 3.3 Revised to v3.3
06/24/2009 Xilinx, Inc. 3.2 Revised to v3.2
04/24/2009 Xilinx, Inc. 3.1 Revised to v3.1
09/19/2008 Xilinx, Inc. 2.8 Revised to v2.8
03/24/2008 Xilinx, Inc. 2.7 10.1 support; Revised to v2.7
10/03/2007 Xilinx, Inc. 2.6 Revised to v2.6
07/2007 Xilinx, Inc. 2.5 Revised to v2.5
04/2007 Xilinx, Inc. 2.4 Revised to v2.4 rev 1
02/2007 Xilinx, Inc. 2.4 Revised to v2.4
11/2006 Xilinx, Inc. 2.3 Revised to v2.3
09/2006 Xilinx, Inc. 2.2 Revised to v2.2
06/2006 Xilinx, Inc. 2.1 Revised to v2.1
01/2006 Xilinx, Inc. 1.1 Initial release
================================================================================
8. Legal Disclaimer
(c) Copyright 2006 - 2011 Xilinx, Inc. All rights reserved.
This file contains confidential and proprietary information
of Xilinx, Inc. and is protected under U.S. and
international copyright and other intellectual property
laws.
DISCLAIMER
This disclaimer is not a license and does not grant any
rights to the materials distributed herewith. Except as
otherwise provided in a valid license issued to you by
Xilinx, and to the maximum extent permitted by applicable
law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
(2) Xilinx shall not be liable (whether in contract or tort,
including negligence, or under any other theory of
liability) for any loss or damage of any kind or nature
related to, arising under or in connection with these
materials, including for any direct, or any indirect,
special, incidental, or consequential loss or damage
(including loss of data, profits, goodwill, or any type of
loss or damage suffered as a result of any action brought
by a third party) even if such damage or loss was
reasonably foreseeable or Xilinx had been advised of the
possibility of the same.
CRITICAL APPLICATIONS
Xilinx products are not designed or intended to be fail-
safe, or for use in any application requiring fail-safe
performance, such as life-support or safety devices or
systems, Class III medical devices, nuclear facilities,
applications related to the deployment of airbags, or any
other applications that could lead to death, personal
injury, or severe property or environmental damage
(individually and collectively, "Critical
Applications"). Customer assumes the sole risk and
liability of any use of Xilinx products in Critical
Applications, subject only to applicable laws and
regulations governing limitations on product liability.
THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
PART OF THIS FILE AT ALL TIMES.
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
<!-- -->
<!-- For tool use only. Do not edit. -->
<!-- -->
<!-- ProjectNavigator created generated project file. -->
<!-- For use in tracking generated file and other information -->
<!-- allowing preservation of process status. -->
<!-- -->
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="blk_mem_gen_v6_3.xise"/>
<files xmlns="http://www.xilinx.com/XMLSchema">
<file xil_pn:fileType="FILE_USERDOC" xil_pn:name="blk_mem_gen_readme.txt" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="blk_mem_gen_v6_3.vho" xil_pn:origination="imported"/>
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
</generated_project>
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$3g:44<,[o}e~g`n;"2*736(-30<=>?0123416<89:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?012345679<1:>54FNQWW>aoii!;9;7>&16926=<H]]Z^X7j`uuc+571=8 ;?7<:5IORVP?GCL[K#=>4?)06851<NFY__6LJKRC*27?6.991:6D@_UU8tad.729#:<6?5IORVP?qbj!:1<$?=;209MKVR\3NBM%=>:1+26>552@D[YY4KIC*05?6.9:18>7GAPTV9`lhe :;1<$?<;209KPRW]]0OCL&<1;2*56=4:3E^X][[:EMA,67=8 ;>7><5OTVSQQ<cg|~i$>?50(32?1<NFY__6IG_A)194,763=0BB][[:EKSF-5=8 ;;794FNQWW>RCF :0;%<>44;KMTPR=_LH#?7>&1397>JSSX\^1HB^N(283-44<<3E^X][[:EMSF-5=8 l0:~bw44vecb2?<$8:>695IORVP?gcl{k#:7>&139:>LHW]]0jhi|m(983-==FLMXJ$='7;@FGVD.6!01JHI\N(02*=>GCL[K#=<'6;@FGVD.6: 30MIJ]A)30-g=FLMXJ$<=50(:8EABUI!8"46OKDSC+7,><IMNYM%:&8:CG@WG/= 20MIJ]A)4*<>GCL[K#;$64AEFQE->.02KOH_O'9(:8EABUJ!:"46OKDS@+5,?<IMNYN%??)89B@ATE 8;"56OKDS@+57/>3HNO^O&>3(`8EABUJ!;86='7;@FGVG.5!11JHI\M(2+;?DBCZK"?%55NDEPA,0/?3HNO^O&9)99B@ATE >#37LJKRC*;-==FLMXI$4'<;@NO<>DR[VCEJB<4CD31?FNBKBUGENKASD]W]UC33JF@<;5LLJ3;43=DDB8<<85LLJ0[<>EKC;R:4=;4CMI:41=DDBK>7NBDA058GIMF9M8<7NBDA0F73>EKCH;O495LLJ@6?FJLJ8?0OAEL149@HNB6=2IGGIXl;BNH@SYCA_COI85LLJD[<>EKCOR:4=74CNONMQRBL8>0OB\J_FGMAWGSAFDTECH@7:AQADRBLj1OMYOPWHFWLZGd3MK_MRYFDUJ\F3=CAH";%;5KI@*2-2=CAH":<$94DHC+54/03MCJ$<<&7:FJE-74!>1OEL&>4(58@LG/9<#<7IGN(04*3>BNI!;<%:5KI@*2<,1<L@K#=4'9;EKB,7/03MCJ$?>&7:FJE-46!>1OEL&=2(58@LG/::#<7IGN(36*3>BNI!8>%:5KI@*12,1<L@K#>:'8;EKB,7>.?2NBM%<6)79GMD.4!>1OEL&<0(58@LG/;8#27IGN(2394,0<L@K#8$84DHC+1,0<L@K#:$84DHC+3,0<L@K#4$84DHC+=,0<L@H#<$84DH@+5,1<L@H#=='8;EKA,47.?2NBN%?=)69GMG.6; =0HDL'15+4?AOE 8?";6JFB)35-2=CAK":;$94DH@+5=/03MCI$<7&6:FJF-4.?2NBN%<?)69GMG.59 =0HDL'23+4?AOE ;9";6JFB)07-2=CAK"99$94DH@+63/03MCI$?9&7:FJF-4?!>1OEO&=9(48@LD/; =0HDL'31+4?AOE :;"56JFB)12>5/13MCI$9'9;EKA,0/13MCI$;'9;EKA,2/13MCI$5'9;EKA,</03MC[M%>&7:FJTD.6!>1OE]O'2(58@LVF :#27IG_A)194,1<L@ZI$='8;EKSF-7.?2NB\O&=)69GMUD/; 30HD^M(283-3=CGH";%;5KO@*2-2=CGH":<$94DNC+54/03MEJ$<<&7:FLE-74!>1OCL&>4(58@JG/9<#<7IAN(04*3>BHI!;<%:5KO@*2<,1<LFK#=4'9;EMB,7/03MEJ$?>&7:FLE-46!>1OCL&=2(58@JG/::#<7IAN(36*3>BHI!8>%:5KO@*12,1<LFK#>:'8;EMB,7>.?2NDM%<6)79GKD.4!>1OCL&<0(58@JG/;8#27IAN(2394,0<LFK#8$84DNC+1,0<LFK#:$84DNC+3,0<LFK#4$84DNC+=,1<LFKT^HI9;EMA,5/13MEI$<'8;EMA,46.?2NDN%?>)69GKG.6: =0HBL'12+4?AIE 8>";6J@B)36-2=CGK"::$94DN@+52/03MEI$<6&7:FLF-7>!?1OCO&=)69GKG.58 =0HBL'20+4?AIE ;8";6J@B)00-2=CGK"98$94DN@+60/03MEI$?8&7:FLF-40!>1OCO&=8(58@JD/:0#=7IAM(2+4?AIE ::";6J@B)12-<=CGK"8=7>&6:FLF-2.>2NDN%;&6:FLF-0.>2NDN%9&6:FLF->.>2NDN%7&7:FLFZTBO>1OC]O'0(58@JVF 8#<7IA_A)0*3>BHXH"8%45KOQC+7?6.?2ND\O&?)69GKUD/9 =0HB^M(3+4?AIWJ!9"56J@PC*0>5/43LDJ?6KABc9FJZTT\[KFSLl4EO]QWQTFEVH>7KOCSD38C6=@FM20ECG[P^23<>OIA]ZT<<64IOKWTZ6502CEEY^P02:8MKOSXV:?46GAIUR\40><AGC_\R>98:KMMQVX8>=0ECG[_124?LHN\V::;6GAIU]362=NF@^T<>94IOKW[5203@DBXR>:7:KMMQY7>>1BBDZP0658MKOSW92<7D@FT^2:3>OIA]U;M:5FNHV\4G1<AGC_S=M8;HLJPZ6C?2CEEYQ?E69JJLRX8O=0ECG[_024?LHN\V;:;6GAIU]262=NF@^T=>94IOKW[4203@DBXR?:7:KMMQY6>>1BBDZP1658MKOSW82<7D@FT^3:3>OIA]U:M:5FNHV\5G1<AGC_S<M8;HLJPZ7C?2CEEYQ>E69JJLRX9O=0ECG[_324?LHN\V8:;6GAIU]162=NF@^T>>94IOKW[7203@DBXR<:7:KMMQY5>>1BBDZP2658MKOSW;2<7D@FT^0:3>OIA]U9M:5FNHV\6G1<AGC_S?M8;HLJPZ4C?2CEEYQ=E69JJLRX:O=0ECG[_224?LHN\V9:;6GAIU]062=NF@^T?>94IOKW[6203@DBXR=:7:KMMQY4>>1BBDZP3658MKOSW:2<7D@FT^1:3>OIA]U8M:5FNHV\7G1<AGC_S>M8;HLJPZ5C?2CEEYQ<E69JJLRX;O<0ECG[_@48MKOSWK30ECG[_GKOA3=KGHNNH;5COBIF@2=KGNCHMAm4M`hlvScu{`eeo6CfnnpUawungg80B<94NDVTKWM33GEEI:5@.52g[I2<XHX_m6^FN^@VWLB_j2ZBBRLZSOCNA3=W[MEEIl5]AL@22GQBZ?1YIJMJA79QABEBJ=1Y_YO;;SQWF2=U[]^R\Hk4RRV\TWOHZ@^RSLk4RRV\TWOHZ@^RSO?<;RKN[FIKD@YBCCQLHDAHe>UNEVNNZDMJ6:QLQWEB02Y[M_ZNN758WWPFDVK<7^\YAM]A2>USI]_X86]VNB68P\VB?:1^<"v|t^`ooZkbeVmnbh|ntnp,ckgsaoiaj aaukuaZdkcVgnaRijn.tbhlb)kz~y#oblnms_5[)zhg%~"}9_omjjlr)zhg$X_[J_ER-TWOJ91$ym`9<;T2,|vrXjeaTahcPgdlfvdrhz&memygyecod*kgsaoTnaePmdo\c`h(~hfbh#m|ts-qehjhgyQ:Q#|nm/p,w3Yig`dbx#|nm.VQQ@YCX'ZYE@?7.scn36=R8&rxxRlck^ofiZabflxjxb| gocwmsceen$emygye^`ooZkbeVmnb"xnlhf-gvru'{kf`ba[3_-vdk)z&y=Scafnhv-vdk(\[_NSI^!PSKN5=(uid=87X> xrv\fimXelgTkh`jr`vlv*aii}c}ioch.ocwmscXjeaTahcPgdl,rdjnl'ixx!}alnlku]4U'xja#| s7]mklhn|'xja"Z]UD]GT+VUAD;3"obc:WPAWYQAZCI@H74VHGT[Q_WM?1\IL&?)79TAD.6!?1\IL&=)79TAD.4!11\IL&<:1+5?RCE 9#=7ZKM(0+5?RCE ;#=7ZKM(2+;?RCE :0;%o5XRHVF[COU[]i0[_G[E^OL@@YFk2]YEYKPMNFF[G7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0<PZ^GEO94XRV\CKBe3QUHC_KPIODL2>^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us02koho'0(:8eabui!;"46okdsc+6,><imnym%=&8:cg`wg/< 20mij}a)7*<>gcl{k#:$o4aefqe-0=8 20mij}b)2*<>gcl{h#=$64aefqf-4.02kohl'3(:8eabuj!>"46okds`+1,><imnyn%8&8:cg`wd/? 20mij}b):*e>gcl{h#47>&199ahnYjmdUlicQheogqmfYd{}x:46lck^ofiZabfVzye`Qxievk[47?3kf`S`kb_fgm[utneV}bhyfP20:8fimXelgTkh`Ppskn[roc|aU8=55mlj]nahY`mgU{~dcPwhfwlZ26l2hggRcjm^efjZvuadU|~l~es]p2Z76l2hggRcjm^efjZvuadU|~l~es]p2Z46l2hggRcjm^efjZvuadU|~l~es]p2Z56l2hggRcjm^efjZvuadU|~l~es]p2Z2a3kf`S`kb_fgm[qiw991i`fQbel]dakYq>V;9o6lck^ofiZabfV|=S<"/Xhnjj}&DG[O+Kh`jr`vlv%74&8;?7obd_lgn[bciW<T=Rv|t028fimXelgTkh`Pv7]06g=edbUfi`Qheo]u2Z5+jeaTahcPgdl\r3Y6$GEEI!@@ND6`b>dkcVgnaRijn^t5[6*edbUfi`Qheo]u2Z7+sjUjhi|n_vkgpm;0$jUjhi|m_vkgpm;>$jUj`ja}iujn94*dWhrbSdjPwhfwl82+kVkseR}cawg\p|vb59&hSlvf_u{sa87+kVhrxhQ|i{g>=)eXkfgfccQllj?3(fYcmok~`yQkauc>4)eXl`yjnakPw`pm[gnqWjeg`0>#c^fjwddkmV}j~cQmhw]qekab59&hShbnbnpbpliiWmcy1"ois.afvk(d`&oek"kka.agwZstm{y$kkk}n`lg+uthbli"zkc.qqg*owWjeyi~!bel]`kwc(|dz$Sni /-a\bdkndp7x|l|{ao4/gZnf{VkseRgk=1.`[mgtWldj1<"l_icp[`he58&hSeo|_hliafrbz{7; nQgar]nahYh}}z~xR|jgr]b95*dWakxS`kb_nwwtprXzlmxSo3>,b]kevYj}qUdyy~zt^pfcvYf59&hSeo|_lw{[jssx|~T~hi|_c?3(fYoizUyijmja<2/gZnf{Vxnknkm=1.`[mgtW{ym0>#c^jbwZtt|k7; nQgar]pkcrbkjUbb}{{_sgdwZg:8%iTdl}PsndwafeXg|~{yyQ}efq\f86+kVceeyQiimg\jdkb5geTobkPfhnf[iiflln'oRgaiuc\rdj:8%iTecg{b^tbh86+kVcexh|iabg\p|vb59&hSaand^kmmqYaaeo6<!mPmdo\p|vb5;&hS`{w_qksaioimVymjk|=1.`[utneV~r|h3>,b]qadbXllzdRo213:/gZtbimUoi}zg_c?657*dW{ojhRyfduj\e8750%iT~hok_vkgpmYe5:8'oR||t^rqmjtn|pUj1NK#c^pppZvuafxbxtQm=BG/gZtt|V~r|h3\YOA/gZtt|{kfSl3?,b]qwqtfeVh6<!mPsho\gjjkazcdbRmgebi>EIJ+kVxiRlvtd]tad;7$jU~hQmyug\s`d:8%iTy~kPdddbqirXlh~j1="l_tqf[`ed59&hSx}j_rmep`ed59&hSzkn_vkgpm;6$jU|ioQxievk94*dW~xbxhQkeqvk[d;6:1&hSz|ftd]gauroWk7>=?"l_vpjp`YjgmoTm0Y]IUG\BLTT\%iT{g{e^ol``Ye5^XBXHQIISQW(fYpz`~nSzgkti]b944?$jU|~dzj_vkgpmYe5:8'oRvkewk`acgjaes6}o}t`l5y45<jeaTahcPiorvpZdkgja:86lck^ofiZir|ySob`cj68gimf<2iggo94dhlb,5/03mcem%?&8:fjjd.68 30hd`n(023-<=cagk#==?&9:fjjd.68;#27igaa)337,?<l`dj$<>;)89gmkg/99?"56jfn`*243/>3mcem%??7(;8`lhf 8:3%45kioc+55?.02nbbl&>1(;8`lhf 8;;%45kioc+547.12nbbl&>13+:?aoii!;:?$74dhlb,473!01oeco'107*=>bnfh":=;'6;ekme-76? 30hd`n(03;-<=cagk#=<7&8:fjjd.6: 30hd`n(003-<=cagk#=??&9:fjjd.6:;#27igaa)317,?<l`dj$<<;)89gmkg/9;?"56jfn`*263/>3mcem%?=7(`8`lhf 88<6='7;ekme-74!11oeco'15+;?aoii!;>%55kioc+53/?3mcem%?8)99gmkg/91#37igaa)3:-2=cagk#>$64dhlb,76.02nbbl&=1(:8`lhf ;8"46jfn`*17,><l`dj$?:&8:fjjd.5= 20hd`n(34*<>bnfh"9;$64dhlb,7>.02nbbl&=9(58`lhf :#37igaa)13-==cagk#?<'7;ekme-55!11oeco'32+;?aoii!9?%55kioc+70/?3mcem%=9)99gmkg/;>#37igaa)1;-==cagk#?4'8;ekme-2.02nbbl&;0(:8`lhf =;"46jfn`*76,><l`dj$9=&8:fjjd.3< 20hd`n(57*<>bnfh"?:$64dhlb,11.02nbbl&;8(:8`lhf =3";6jfn`*6-==cagk#9='7;ekme-36!11oeco'53+;?aoii!?8%55kioc+11/?3mcem%;:)99gmkg/=?#37igaa)74-==cagk#95'7;ekme-3>!>1oeco'6(:8`lhf ?:"46jfn`*55,><l`dj$;<&8:fjjd.1; 20hd`n(76*<>bnfh"=9$64dhlb,30.02nbbl&97(:8`lhf ?2"46jfn`*5=,1<l`dj$:'7;ekme-17!11oeco'70+;?aoii!=9%55kioc+36/?3mcem%9;)99gmkg/?<#37igaa)55-==cagk#;:'7;ekme-1?!11oeco'78+4?aoii!2"46jfn`*;4,><l`dj$5?&8:fjjd.?: 20hd`n(91*<>bnfh"38$64dhlb,=3.02nbbl&76(:8`lhf 1="46jfn`*;<,><l`dj$57&7:fjjd.>!11oeco'91+;?aoii!3:%55kioc+=7/?3mcem%7<)99gmkg/1=#37igaa);6-==cagk#5;'7;ekme-?0!11oeco'99+;?aoii!32%:5kio`+4,1<l`di$<'7;ekmf-77!11oecl'10+;?aoij!;9%55kio`+56/?3mcen%?;)99gmkd/9<#37igab)35-==cagh#=:'7;ekmf-7?!11oecl'18+4?aoij!8"46jfnc*14,><l`di$??&8:fjjg.5: 20hd`m(31*<>bnfk"98$64dhla,73.02nbbo&=6(:8`lhe ;="46jfnc*1<,><l`di$?7&7:fjjg.4!11oecl'31+;?aoij!9:%l5kio`+74<7!>1oecl'4(58`lhe <#<7igab)4*3>bnfk"<%:5kio`+<,1<l`di$4'7;emvpd.7!11ocxzn(0+:?air|h":<$o4dnwwe-778 k0hb{{a)335,g<lfm%??2(c8`jssi!;;?$o4dnwwe-77< k0hb{{a)331,g<lfm%??6(c8`jssi!;;;$o4dnwwe-770 k0hb{{a)33=,?<lfm%?>)`9gkprf 8;;%l5kotvb,476!h1ocxzn(031-d=cg|~j$<?<)`9gkprf 8;?%l5kotvb,472!h1ocxzn(035-d=cg|~j$<?8)`9gkprf 8;3%l5kotvb,47>!01ocxzn(00*e>bh}}k#=?>&a:flqqg/9;;"m6j`uuc+574.i2ndyyo'131*e>bh}}k#=?:&a:flqqg/9;?"m6j`uuc+570.i2ndyyo'135*g>bh}}k#=?950(;8`jssi!;8%45kotvb,42.12ndyyo'14+:?air|h"::$74dnwwe-70!01ocxzn(0:*=>bh}}k#=4'7;emvpd.5!01ocxzn(32*=>bh}}k#><'6;emvpd.5: 30hb{{a)00-<=cg|~j$?:&9:flqqg/:<#27iazt`*12,?<lfm%<8)89gkprf ;2"56j`uuc+6</?3me~xl&<)89gkprf ::"56j`uuc+74/>3me~xl&<2(;8`jssi!98%45kotvb,62.12ndyyo'34+:?air|h"8:$74dnwwe-50!01ocxzn(2:*=>bh}}k#?4'7;emvpd.3!01ocxzn(52*=>bh}}k#8<'6;emvpd.3: 30hb{{a)60-<=cg|~j$9:&9:flqqg/<<#27iazt`*72,?<lfm%:8)89gkprf =2"56j`uuc+0</?3me~xl&:)89gkprf <:"56j`uuc+14/>3me~xl&:2(;8`jssi!?8%45kotvb,02.12ndyyo'54+:?air|h">:$74dnwwe-30!01ocxzn(4:*=>bh}}k#94'7;emvpd.1!01ocxzn(72*=>bh}}k#:<'6;emvpd.1: 30hb{{a)40-<=cg|~j$;:&9:flqqg/><#27iazt`*52,?<lfm%88)89gkprf ?2"56j`uuc+2</?3me~xl&8)89gkprf >:"56j`uuc+34/>3me~xl&82(;8`jssi!=8%45kotvb,22.12ndyyo'74+:?air|h"<:$74dnwwe-10!01ocxzn(6:*=>bh}}k#;4'7;emvpd.?!01ocxzn(92*=>bh}}k#4<'6;emvpd.?: 30hb{{a):0-<=cg|~j$5:&9:flqqg/0<#27iazt`*;2,?<lfm%68)89gkprf 12"56j`uuc+<</?3me~xl&6)89gkprf 0:"56j`uuc+=4/>3me~xl&62(;8`jssi!38%45kotvb,<2.12ndyyo'94+:?air|h"2:$74dnwwe-?0!01ocxzn(8:*=>bh}}k#54'7;emvpg.7!11ocxzm(0+:?air|k":<$74dnwwf-76!01ocxzm(00*=>bh}}h#=>'6;emvpg.6< 30hb{{b)36-<=cg|~i$<8&9:flqqd/9>#27iaztc*2<,?<lfn%?6)99gkpre ;#27iaztc*14,?<lfn%<>)89gkpre ;8"56j`uu`+66/>3me~xo&=4(;8`jssj!8>%45kotva,70.12ndyyl'26+:?air|k"94$74dnwwf-4>!11ocxzm(2+:?air|k"8<$74dnwwf-56!k1ocxzm(2394,><lfn%:&8:flqqd/= 20hb{{b)4*<>bh}}h#;$64dnwwf->.02ndyyl'9(18akg43ldi46`hccwjha0<lk#<$64wdc+4?6.>2}nn%>&8:uff-6=8 wKL}9?5:BC|4?02O0?6<u\4g8232<6<?0:??jj9g811a>bsg;<97?4n055>3=#9>91=;h4}R6g>41028>=6<==dd;e>73c1j1X=>k52d694?74:mo2j7<:d`78W1b=:l>1<7?<2eg:b?42lh20h<78:182>4}T<o0:;:4>478277bb1o099i7?;wV210<7280:6<;8{R6e>41028>=6<==dd;e>73c191/=;?51528R4132;q~=9?51:w207<73t.:8:4>5:`2=2<728?=684>56yK536<,8?i6<78;[14>=}028<1?765168a>1<b2t.:;?4>949'7<<6111/8h4>989j6`3=83.:844=e79m51>=821b=:k50;&20<<6?o1e=9650:9j52b=83.:844>7g9m51>=921b=:m50;&20<<6?o1e=9652:9j52d=83.:844>7g9m51>=;21b=:o50;&20<<6?o1e=9654:9j52?=83.:844>7g9m51>==21b=:650;&20<<6?o1e=9656:9j5gc=83.:844>bg9m51>=821b=oj50;&20<<6jo1e=9651:9j5ge=83.:844>bg9m51>=:21b=ol50;&20<<6jo1e=9653:9j5gg=83.:844>bg9m51>=<21b=o750;&20<<6jo1e=9655:9j5g>=83.:844>bg9m51>=>21b=o950;&20<<6jo1e=9657:9j5g3=83.:844>bg9m51>=021b=o:50;&20<<6jo1e=9659:9j5g5=83.:844>bg9m51>=i21b=o<50;&20<<6jo1e=965b:9j5g7=83.:844>bg9m51>=k21b=o>50;&20<<6jo1e=965d:9j5d`=83.:844>bg9m51>=m21b=lk50;&20<<6jo1e=965f:9j5db=83.:844>bg9m51>=9910e<ol:18'51?=9kl0b<:7:038?l7fi3:1(<:6:0`e?k7303;976g>a883>!7313;ij6`>49827>=n9h21<7*>4882fc=i9=21=954i0c4>5<#9=31=oh4n06;>43<3`;j:7>5$06:>4da3g;?47?9;:k2e0<72-;?57?mf:l20=<6?21b=l:50;&20<<6jo1e=9651998m4g4290/=9751cd8j42?28307d?n2;29 42>28hm7c?;8;3b?>o6i80;6)?;9;3ab>h6<10:n65f20g94?"6<00:nk5a15:95f=<a;;o6=4+15;95g`<f8>36<j4;h02g?6=,8>26<li;o37<?7b32c9=o4?:%37=?7en2d:854>f:9j64g=83.:844>bg9m51>=:910e??6:18'51?=9kl0b<:7:338?l4603:1(<:6:0`e?k73038976g=1683>!7313;ij6`>49817>=n:8<1<7*>4882fc=i9=21>954i336>5<#9=31=oh4n06;>73<3`8:?7>5$06:>4da3g;?47<9;:k157<72-;?57?mf:l20=<5?21b><?50;&20<<6jo1e=9652998m777290/=9751cd8j42?2;307d<?f;29 42>28hm7c?;8;0b?>o58l0;6)?;9;3ab>h6<109n65f21f94?"6<00:nk5a15:96f=<a;:h6=4+15;95g`<f8>36?j4;h03f?6=,8>26<li;o37<?4b32c9<l4?:%37=?7en2d:854=f:9j65>=83.:844>bg9m51>=;910e?>8:18'51?=9kl0b<:7:238?l47>3:1(<:6:0`e?k73039976g=0483>!7313;ij6`>49807>=n:9>1<7*>4882fc=i9=21?954i320>5<#9=31=oh4n06;>63<3`8;>7>5$06:>4da3g;?47=9;:k144<72-;?57?mf:l20=<4?21b>=>50;&20<<6jo1e=9653998m4`a290/=9751cd8j42?2:307d?id;29 42>28hm7c?;8;1b?>o6nj0;6)?;9;3ab>h6<108n65f1g`94?"6<00:nk5a15:97f=<a8lj6=4+15;95g`<f8>36>j4;h3e=?6=,8>26<li;o37<?5b32c:j54?:%37=?7en2d:854<f:9j5c1=83.:844>bg9m51>=<910e<h9:18'51?=9kl0b<:7:538?l7a=3:1(<:6:0`e?k7303>976g>f583>!7313;ij6`>49877>=n9o81<7*>4882fc=i9=218954i0d2>5<#9=31=oh4n06;>13<3`;m<7>5$06:>4da3g;?47:9;:k2ac<72-;?57?mf:l20=<3?21b=hk50;&20<<6jo1e=9654998m4cc290/=9751cd8j42?2=307d?jc;29 42>28hm7c?;8;6b?>o6mk0;6)?;9;3ab>h6<10?n65f1dc94?"6<00:nk5a15:90f=<a8o26=4+15;95g`<f8>369j4;h3f3?6=,8>26<li;o37<?2b32c:i;4?:%37=?7en2d:854;f:9j5`3=83.:844>bg9m51>==910e<k;:18'51?=9kl0b<:7:438?l7b;3:1(<:6:0`e?k7303?976g>e383>!7313;ij6`>49867>=n9l;1<7*>4882fc=i9=219954i0g3>5<#9=31=oh4n06;>03<3`;oj7>5$06:>4da3g;?47;9;:k2``<72-;?57?mf:l20=<2?21b=im50;&20<<6jo1e=9655998m4be290/=9751cd8j42?2<307d?ka;29 42>28hm7c?;8;7b?>o6l00;6)?;9;3ab>h6<10>n65f1e:94?"6<00:nk5a15:91f=<a8n<6=4+15;95g`<f8>368j4;h3g2?6=,8>26<li;o37<?3b32c:h84?:%37=?7en2d:854:f:9j5a2=83.:844>bg9m51>=>910e<j<:18'51?=9kl0b<:7:738?l7c93:1(<:6:0`e?k7303<976g>d183>!7313;ij6`>49857>=n9jl1<7*>4882fc=i9=21:954i0af>5<#9=31=oh4n06;>33<3`;hh7>5$06:>4da3g;?4789;:k2gf<72-;?57?mf:l20=<1?21b=nl50;&20<<6jo1e=9656998m4ef290/=9751cd8j42?2?307d?l9;29 42>28hm7c?;8;4b?>o6k10;6)?;9;3ab>h6<10=n65f1b494?"6<00:nk5a15:92f=<a8i>6=4+15;95g`<f8>36;j4;h3`0?6=,8>26<li;o37<?0b32c:o>4?:%37=?7en2d:8549f:9j5f4=83.:844>bg9m51>=?910e<m>:18'51?=9kl0b<:7:638?l7d83:1(<:6:0`e?k7303=976g>b783>!7313;ij6`>49847>=n9hh1<7*>4882fc=i9=21;954i0c3>5<#9=31=oh4n06;>23<3`8:87>5$06:>4da3g;?4799;:k14<<72-;?57?mf:l20=<0?21b=kk50;&20<<6jo1e=9657998m4`4290/=9751cd8j42?2>307d?j8;29 42>28hm7c?;8;5b?>o6lm0;6)?;9;3ab>h6<10<n65f1e094?"6<00:nk5a15:93f=<a8i<6=4+15;95g`<f8>36:j4;h3:b?6=,8>26<li;o37<?1b32c:5h4?:%37=?7en2d:8548f:9j6`1=83.:844=e99m51>=821b=5650;&20<<6001e=9650:9j5=1=83.:844>889m51>=921b=5850;&20<<6001e=9652:9j5=3=83.:844>889m51>=;21b=5:50;&20<<6001e=9654:9j5=5=83.:844>889m51>==21b=5<50;&20<<6001e=9656:9j5=7=83.:844>889m51>=?21b=5>50;&20<<6001e=9658:9j66>=83.:844=389m51>=821b>>950;&20<<5;01e=9651:9j663=83.:844=389m51>=:21b>>:50;&20<<5;01e=9653:9j665=83.:844=389m51>=<21b>><50;&20<<5;01e=9655:9j667=83.:844=389m51>=>21b>>>50;&20<<5;01e=9657:9j67`=83.:844=389m51>=021b>?k50;&20<<5;01e=9659:9j67b=83.:844=389m51>=i21b>?m50;&20<<5;01e=965b:9j67g=83.:844=389m51>=k21b>?750;&20<<5;01e=965d:9j67>=83.:844=389m51>=m21b>?950;&20<<5;01e=965f:9j670=83.:844=389m51>=9910e?<::18'51?=::30b<:7:038?l45<3:1(<:6:31:?k7303;976g=2283>!73138856`>49827>=n:;81<7*>48817<=i9=21=954i302>5<#9=31>>74n06;>43<3`88j7>5$06:>75>3g;?47?9;:k17`<72-;?57<<9:l20=<6?21b>>j50;&20<<5;01e=9651998m75d290/=97522;8j42?28307d<<b;29 42>2;927c?;8;3b?>o5;h0;6)?;9;00=>h6<10:n65f22494?"6<009?45a15:95f=<a;8i6=4+15;966?<f8>36<j4;h014?6=,8>26?=6;o37<?7b32c9=k4?:%37=?4412d:854>f:9j5<e=831b>h<50;9j5<b=831b>h=50;9l636=83.:844=609m51>=821d>8h50;&20<<5>81e=9651:9l60c=83.:844=609m51>=:21d>8j50;&20<<5>81e=9653:9l60e=83.:844=609m51>=<21d>8l50;&20<<5>81e=9655:9l60g=83.:844=609m51>=>21d>8750;&20<<5>81e=9657:9l601=83.:844=609m51>=021d>8850;&20<<5>81e=9659:9l603=83.:844=609m51>=i21d>8:50;&20<<5>81e=965b:9l605=83.:844=609m51>=k21d>8<50;&20<<5>81e=965d:9l607=83.:844=609m51>=m21d>8>50;&20<<5>81e=965f:9l61`=83.:844=609m51>=9910c?:j:18'51?=:?;0b<:7:038?j43k3:1(<:6:342?k7303;976a=4c83>!73138==6`>49827>=h:=k1<7*>488124=i9=21=954o36:>5<#9=31>;?4n06;>43<3f8?47>5$06:>7063g;?47?9;:m102<72-;?57<91:l20=<6?21d>9850;&20<<5>81e=9651998k722290/=9752738j42?28307b<;4;29 42>2;<:7c?;8;3b?>i5<:0;6)?;9;055>h6<10:n65`2b294?"6<009:<5a15:95f=<g;hm6=4+15;9637<f8>36<j4;n0aa?6=,8>26?8>;o37<?7b32e9ni4?:%37=?4192d:854>f:9l6ge=83.:844=609m51>=:910c?lm:18'51?=:?;0b<:7:338?j4ei3:1(<:6:342?k73038976a=b883>!73138==6`>49817>=h:k21<7*>488124=i9=21>954o3`4>5<#9=31>;?4n06;>73<3f8i97>5$06:>7063g;?47<9;:m1f1<72-;?57<91:l20=<5?21d>o=50;&20<<5>81e=9652998k7d5290/=9752738j42?2;307b<m1;29 42>2;<:7c?;8;0b?>i5j90;6)?;9;055>h6<109n65`2`d94?"6<009:<5a15:96f=<g;kn6=4+15;9637<f8>36?j4;n0b`?6=,8>26?8>;o37<?4b32e9mn4?:%37=?4192d:854=f:9l6dg=83.:844=609m51>=;910c?o6:18'51?=:?;0b<:7:238?j4f03:1(<:6:342?k73039976a=a683>!73138==6`>49807>=h:h<1<7*>488124=i9=21?954o3c6>5<#9=31>;?4n06;>63<3f8j87>5$06:>7063g;?47=9;:m1e6<72-;?57<91:l20=<4?21d>l<50;&20<<5>81e=9653998k7g6290/=9752738j42?2:307b<6f;29 42>2;<:7c?;8;1b?>i51l0;6)?;9;055>h6<108n65`28f94?"6<009:<5a15:97f=<g;3h6=4+15;9637<f8>36>j4;n0:f?6=,8>26?8>;o37<?5b32e95l4?:%37=?4192d:854<f:9l6<?=83.:844=609m51>=<910c?77:18'51?=:?;0b<:7:538?j4>?3:1(<:6:342?k7303>976a=9783>!73138==6`>49877>=h:0>1<7*>488124=i9=218954o3;0>5<#9=31>;?4n06;>13<3f82>7>5$06:>7063g;?47:9;:m1=4<72-;?57<91:l20=<3?21d>4>50;&20<<5>81e=9654998k7>a290/=9752738j42?2=307b<7e;29 42>2;<:7c?;8;6b?>i50m0;6)?;9;055>h6<10?n65`29a94?"6<009:<5a15:90f=<g;2i6=4+15;9637<f8>369j4;n0;=?6=,8>26?8>;o37<?2b32e9454?:%37=?4192d:854;f:9l6=1=83.:844=609m51>==910c?69:18'51?=:?;0b<:7:438?j4?=3:1(<:6:342?k7303?976a=8583>!73138==6`>49867>=h:191<7*>488124=i9=219954o3:1>5<#9=31>;?4n06;>03<3f83=7>5$06:>7063g;?47;9;:m1<5<72-;?57<91:l20=<2?21d>:k50;&20<<5>81e=9655998k71c290/=9752738j42?2<307b<8c;29 42>2;<:7c?;8;7b?>i5?k0;6)?;9;055>h6<10>n65`26c94?"6<009:<5a15:91f=<g;=26=4+15;9637<f8>368j4;n04<?6=,8>26?8>;o37<?3b32e9;:4?:%37=?4192d:854:f:9l620=83.:844=609m51>=>910c?9::18'51?=:?;0b<:7:738?j40;3:1(<:6:342?k7303<976a=7383>!73138==6`>49857>=h:>;1<7*>488124=i9=21:954o353>5<#9=31>;?4n06;>33<3f8=j7>5$06:>7063g;?4789;:m12`<72-;?57<91:l20=<1?21d>;j50;&20<<5>81e=9656998k70d290/=9752738j42?2?307b<9b;29 42>2;<:7c?;8;4b?>i5>h0;6)?;9;055>h6<10=n65`27:94?"6<009:<5a15:92f=<g;<<6=4+15;9637<f8>36;j4;n052?6=,8>26?8>;o37<?0b32e9:84?:%37=?4192d:8549f:9l632=83.:844=609m51>=?910c?8<:18'51?=:?;0b<:7:638?j41:3:1(<:6:342?k7303=976a=5983>!73138==6`>49847>=h:=n1<7*>488124=i9=21;954o361>5<#9=31>;?4n06;>23<3f8i:7>5$06:>7063g;?4799;:m1eg<72-;?57<91:l20=<0?21d>l>50;&20<<5>81e=9657998k7?2290/=9752738j42?2>307b<7a;29 42>2;<:7c?;8;5b?>i5?o0;6)?;9;055>h6<10<n65`26694?"6<009:<5a15:93f=<g;<26=4+15;9637<f8>36:j4;n075?6=,8>26?8>;o37<?1b32e98=4?:%37=?4192d:8548f:9l6ag=83.:844=dc9m51>=821d>i750;&20<<5lk1e=9651:9l6a1=83.:844=dc9m51>=:21d>i850;&20<<5lk1e=9653:9l6a3=83.:844=dc9m51>=<21d>i:50;&20<<5lk1e=9655:9l6a5=83.:844=dc9m51>=>21d>i<50;&20<<5lk1e=9657:9l6a7=83.:844=dc9m51>=021d>i>50;&20<<5lk1e=9659:9l6f`=83.:844=dc9m51>=i21d>nk50;&20<<5lk1e=965b:9l6fe=83.:844=dc9m51>=k21d>nl50;&20<<5lk1e=965d:9l6fg=83.:844=dc9m51>=m21d>n750;&20<<5lk1e=965f:9l6f>=83.:844=dc9m51>=9910c?m8:18'51?=:mh0b<:7:038?j4d>3:1(<:6:3fa?k7303;976a=c483>!73138on6`>49827>=h:j>1<7*>4881`g=i9=21=954o3a0>5<#9=31>il4n06;>43<3f8n=7>5$06:>7be3g;?47?9;:m1a5<72-;?57<kb:l20=<6?21d>ih50;&20<<5lk1e=9651998k7bb290/=9752e`8j42?28307b<kd;29 42>2;ni7c?;8;3b?>i5lj0;6)?;9;0gf>h6<10:n65`2e:94?"6<009ho5a15:95f=<g;io6=4+15;96ad<f8>36<j4;n0`6?6=,8>26?jm;o37<?7b32e9o<4?:%37=?4cj2d:854>f:9a527=83;1<7>t$07a>c4<@8=;7E?90:me5?6=3th:9n4?:ca94?6|,8?i6<:m;I344>N6>91Q?:4l{485>c<6;3;;6<:5108`>d<c288157sa51810>h29330boh50:lf5?7<,lo1j=5+eg8e4>"a;380(k:52:&e1?4<,o<1>6*i7;08 c>=:2.m57<4$gc96>"aj380(km52:&e`?4<,oo1>6*if;08 4672;1/==?52:&247<53-;;?7<4$027>7=#99?1>6*>0781?!77?380(<>7:39'55?=:2.:<l4=;%33f?4<,8:h6?5+11f96>"68l097)??f;08 4772;1/=<?52:&257<53-;:?7<4$037>7=#98?1>6*>1781?!76?380(<?7:39'54?=:2.:=l4=;%32f?4<,8;h6?5+10f96>"69l097)?>f;08 4472;1/=??52:&267<53-;9?7<4$007>7=#9;?1>6*>2781?!75?380(<<7:39'57?=:2.:>l4=;%31f?4<,88h6?5+13f96>"6:l097)?=f;08 4572;1/=>?52:&277<53-;8?7<4$017>7=#9:?1>6*>3781?!74?380(<=7:39'56?=:2.:?l4=;%30f?7<,89h6<5+12f9513<,8?;6<;n;%365?5?3-;>>7=7;%367?513-;>87?:6:&212<63-;>47?4$04g>40d3-;=i7?9c:k20f<722c?<7>5;hgg>5<<a8>m6=44i5794?=n9=n1<75f15g94?=nmj0;66g67;29 42>2020b<:7:198m<0=83.:84468:l20=<632c297>5$06:><><f8>36?54i8694?"6<00246`>4980?>o6><0;6)?;9;352>h6<10;76g>6583>!7313;=:6`>4982?>o6>:0;6)?;9;352>h6<10976g>6383>!7313;=:6`>4980?>ie?3:1(<:6:c:8j42?2910co850;&20<<e02d:854>;:ma0?6=,8>26o64n06;>7=<gk91<7*>488a<>h6<10876am2;29 42>2k20b<:7:598kg7=83.:844m8:l20=<232ei<7>5$06:>g><f8>36;54o`d94?"6<00i46`>4984?>ifm3:1(<:6:c:8j42?2110clj50;&20<<e02d:8546;:mbg?6=,8>26o64n06;>d=<ghh1<7*>488a<>h6<10i76an9;29 42>2k20b<:7:b98kd>=83.:844m8:l20=<c32ej;7>5$06:>g><f8>36h54o`494?"6<00i46`>498e?>if=3:1(<:6:c:8j42?28:07bo;:18'51?=j11e=9651098kd5=83.:844m8:l20=<6:21dm?4?:%37=?d?3g;?47?<;:mb5?6=,8>26o64n06;>42<3fk;6=4+15;9f==i9=21=854ocg94?"6<00i46`>49822>=hjm0;6)?;9;`;?k7303;<76amc;29 42>2k20b<:7:0:8?jde290/=975b99m51>=9010coo50;&20<<e02d:854>a:9lf<<72-;?57l7;o37<?7e32ei97>5$06:>g><f8>36<m4;ncb>5<#9=31n55a15:95a=<g0l1<7*>488a<>h6<10:i65`9d83>!7313h37c?;8;3e?>o6l3:1(<:6:0g8j42?2910e<m50;&20<<6m2d:854>;:k2f?6=,8>26<k4n06;>7=<a8k1<7*>4882a>h6<10876g=6;29 42>28o0b<:7:598m73=83.:844>e:l20=<232c987>5$06:>4c<f8>36;54i3194?"6<00:i6`>4984?>o5:3:1(<:6:0g8j42?2110e??50;&20<<6m2d:8546;:k14?6=,8>26<k4n06;>d=<a8l1<7*>4882a>h6<10i76g>9;29 42>28o0b<:7:b98m4>=83.:844>e:l20=<c32c9o7>5$06:>7b<f8>36=54i3`94?"6<009h6`>4982?>o5i3:1(<:6:3f8j42?2;10e?750;&20<<5l2d:854<;:k01?6=,8>26?j4n06;>1=<a:>1<7*>4881`>h6<10>76g<3;29 42>2;n0b<:7:798m64=83.:844=d:l20=<032c8=7>5$06:>7b<f8>36554i2294?"6<009h6`>498:?>o5n3:1(<:6:3f8j42?2h10e?k50;&20<<5l2d:854m;:k1<?6=,8>26?j4n06;>f=<a;=1<7*>4881`>h6<10o76g7c;29 42>21n0b<:7:198m=d=83.:8447d:l20=<632c357>5$06:>=b<f8>36?54i9:94?"6<003h6`>4980?>o??3:1(<:6:9f8j42?2=10e5850;&20<<?l2d:854:;:k;1?6=,8>265j4n06;>3=<a1>1<7*>488;`>h6<10<76g73;29 42>21n0b<:7:998m=4=83.:8447d:l20=<>32c3=7>5$06:>=b<f8>36l54i9294?"6<003h6`>498a?>o0m3:1(<:6:9f8j42?2j10e:j50;&20<<?l2d:854k;:k4g?6=,8>265j4n06;>`=<a>h1<7*>488;`>h6<10m76g8a;29 42>21n0b<:7:028?l1>290/=9758e9m51>=9810e:650;&20<<?l2d:854>2:9j32<72-;?576k;o37<?7432c<:7>5$06:>=b<f8>36<:4;h56>5<#9=314i5a15:950=<a091<7*>488;`>h6<10::65f9383>!73132o7c?;8;34?>o>93:1(<:6:9f8j42?28207d7?:18'51?=0m1e=9651898m=`=83.:8447d:l20=<6i21b4h4?:%37=?>c3g;?47?m;:k;e?6=,8>265j4n06;>4e<3`=m6=4+15;9<a=i9=21=i54i6694?"6<003h6`>4982a>=n?:0;6)?;9;:g?k7303;m76aj5;29 42>2l<0b<:7:198k`2=83.:844j6:l20=<632en?7>5$06:>`0<f8>36?54od094?"6<00n:6`>4980?>o>k3:1(<:6:8f8j42?2910e4l50;&20<<>l2d:854>;:k:e?6=,8>264j4n06;>7=<a031<7*>488:`>h6<10876aja;29 42>2lh0b<:7:198k`?=83.:844jb:l20=<632en47>5$06:>`d<f8>36?54od594?"6<00nn6`>4980?>ic13:1(<:6:ec8j42?2910ci650;&20<<ci2d:854>;:mg2?6=,8>26io4n06;>7=<gm?1<7*>488ge>h6<10876ak4;29 42>2mk0b<:7:598ka5=83.:844ka:l20=<232eo>7>5$06:>ag<f8>36;54oe394?"6<00om6`>4984?>ic83:1(<:6:ec8j42?2110cnh50;&20<<ci2d:8546;:m`a?6=,8>26io4n06;>d=<gjn1<7*>488ge>h6<10i76alb;29 42>2mk0b<:7:b98kfg=83.:844ka:l20=<c32eh57>5$06:>ag<f8>36h54ob:94?"6<00om6`>498e?>id?3:1(<:6:ec8j42?28:07bm9:18'51?=lh1e=9651098kf3=83.:844ka:l20=<6:21do94?:%37=?bf3g;?47?<;:m`7?6=,8>26io4n06;>42<3fi96=4+15;9`d=i9=21=854od294?"6<00om6`>49822>=hlo0;6)?;9;fb?k7303;<76ake;29 42>2mk0b<:7:0:8?jbc290/=975d`9m51>=9010cim50;&20<<ci2d:854>a:9l`g<72-;?57jn;o37<?7e32eo;7>5$06:>ag<f8>36<m4;na`>5<#9=31hl5a15:95a=<gj;1<7*>488ge>h6<10:i65`c183>!7313nj7c?;8;3e?>o6>h0;6)?;9;35f>h6<10;76g>6883>!7313;=n6`>4982?>o6>10;6)?;9;35f>h6<10976g>6683>!7313;=n6`>4980?>o1j3:1(<:6:7a8j42?2910e;o50;&20<<1k2d:854>;:k5<?6=,8>26;m4n06;>7=<a?=1<7*>4885g>h6<10876g96;29 42>2?i0b<:7:598m33=83.:8449c:l20=<232c=87>5$06:>3e<f8>36;54i7194?"6<00=o6`>4984?>o1:3:1(<:6:7a8j42?2110e;?50;&20<<1k2d:8546;:k54?6=,8>26;m4n06;>d=<a<l1<7*>4885g>h6<10i76g:d;29 42>2?i0b<:7:b98m0e=83.:8449c:l20=<c32c>n7>5$06:>3e<f8>36h54i4c94?"6<00=o6`>498e?>o213:1(<:6:7a8j42?28:07d;7:18'51?=>j1e=9651098m01=83.:8449c:l20=<6:21b9;4?:%37=?0d3g;?47?<;:k61?6=,8>26;m4n06;>42<3`??6=4+15;92f=i9=21=854i6094?"6<00=o6`>49822>=n?80;6)?;9;4`?k7303;<76g80;29 42>2?i0b<:7:0:8?l0a290/=9756b9m51>=9010e;k50;&20<<1k2d:854>a:9j2a<72-;?578l;o37<?7e32c=57>5$06:>3e<f8>36<m4;h7f>5<#9=31:n5a15:95a=<a<91<7*>4885g>h6<10:i65f5383>!7313<h7c?;8;3e?>{e9<n1<7ll:183!72j3;?n6F>719K536<R:=1ov;56;d956<683;?6<?5c;c9`?75200vb8>5259m14<>3ghm6=5ae082?!cb2o:0(hh5f19'b6<53-l?6?5+f481?!`12;1/j:4=;%d;>7=#n0097)hn:39'bg<53-lh6?5+fe81?!`b2;1/jk4=;%334?4<,8::6?5+11096>"68:097)??4;08 4622;1/==852:&242<53-;;47<4$02:>7=#99k1>6*>0c81?!77k380(<>k:39'55c=:2.:<k4=;%324?4<,8;:6?5+10096>"69:097)?>4;08 4722;1/=<852:&252<53-;:47<4$03:>7=#98k1>6*>1c81?!76k380(<?k:39'54c=:2.:=k4=;%314?4<,88:6?5+13096>"6::097)?=4;08 4422;1/=?852:&262<53-;947<4$00:>7=#9;k1>6*>2c81?!75k380(<<k:39'57c=:2.:>k4=;%304?4<,89:6?5+12096>"6;:097)?<4;08 4522;1/=>852:&272<53-;847<4$01:>7=#9:k1>6*>3c82?!74k3;0(<=k:066?!7283;>m6*>5080<>"6=;0846*>52802>"6==0:9;5+14595>"6=10:7)?9d;35g>"6>l0::n5f15a94?=n<90;66gjd;29?l73n3:17d:::188m42c2900e<:j:188m`e=831b5:4?:%37=???3g;?47>4;h;5>5<#9=31555a15:95>=n1<0;6)?;9;;;?k7303807d7;:18'51?=111e=9653:9j533=83.:844>679m51>=821b=;:50;&20<<6>?1e=9651:9j535=83.:844>679m51>=:21b=;<50;&20<<6>?1e=9653:9lf2<72-;?57l7;o37<?6<3fh=6=4+15;9f==i9=21=65`b583>!7313h37c?;8;08?jd4290/=975b99m51>=;21dn?4?:%37=?d?3g;?47:4;n`2>5<#9=31n55a15:91>=hj90;6)?;9;`;?k7303<07boi:18'51?=j11e=9657:9le`<72-;?57l7;o37<?><3fko6=4+15;9f==i9=21565`ab83>!7313h37c?;8;c8?jge290/=975b99m51>=j21dm44?:%37=?d?3g;?47m4;nc;>5<#9=31n55a15:9`>=hi>0;6)?;9;`;?k7303o07bo9:18'51?=j11e=965f:9le0<72-;?57l7;o37<?7732ej87>5$06:>g><f8>36<?4;nc0>5<#9=31n55a15:957=<gh81<7*>488a<>h6<10:?65`a083>!7313h37c?;8;37?>if83:1(<:6:c:8j42?28?07blj:18'51?=j11e=9651798kgb=83.:844m8:l20=<6?21dnn4?:%37=?d?3g;?47?7;:maf?6=,8>26o64n06;>4?<3fhj6=4+15;9f==i9=21=l54oc;94?"6<00i46`>4982f>=hj<0;6)?;9;`;?k7303;h76ana;29 42>2k20b<:7:0f8?j?a290/=975b99m51>=9l10c4k50;&20<<e02d:854>f:9j5a<72-;?57?j;o37<?6<3`;h6=4+15;95`=i9=21=65f1c83>!7313;n7c?;8;08?l7f290/=9751d9m51>=;21b>;4?:%37=?7b3g;?47:4;h06>5<#9=31=h5a15:91>=n:=0;6)?;9;3f?k7303<07d<<:18'51?=9l1e=9657:9j67<72-;?57?j;o37<?><3`8:6=4+15;95`=i9=21565f2183>!7313;n7c?;8;c8?l7a290/=9751d9m51>=j21b=44?:%37=?7b3g;?47m4;h3;>5<#9=31=h5a15:9`>=n:j0;6)?;9;0g?k7303:07d<m:18'51?=:m1e=9651:9j6d<72-;?57<k;o37<?4<3`826=4+15;96a=i9=21?65f3483>!73138o7c?;8;68?l53290/=9752e9m51>==21b?>4?:%37=?4c3g;?4784;h11>5<#9=31>i5a15:93>=n;80;6)?;9;0g?k7303207d=?:18'51?=:m1e=9659:9j6c<72-;?57<k;o37<?g<3`8n6=4+15;96a=i9=21n65f2983>!73138o7c?;8;a8?l40290/=9752e9m51>=l21b4n4?:%37=?>c3g;?47>4;h:a>5<#9=314i5a15:95>=n000;6)?;9;:g?k7303807d67:18'51?=0m1e=9653:9j<2<72-;?576k;o37<?2<3`2=6=4+15;9<a=i9=21965f8483>!73132o7c?;8;48?l>3290/=9758e9m51>=?21b4>4?:%37=?>c3g;?4764;h:1>5<#9=314i5a15:9=>=n080;6)?;9;:g?k7303k07d6?:18'51?=0m1e=965b:9j3`<72-;?576k;o37<?e<3`=o6=4+15;9<a=i9=21h65f7b83>!73132o7c?;8;g8?l1e290/=9758e9m51>=n21b;l4?:%37=?>c3g;?47??;:k4=?6=,8>265j4n06;>47<3`=36=4+15;9<a=i9=21=?54i6594?"6<003h6`>49827>=n??0;6)?;9;:g?k7303;?76g85;29 42>21n0b<:7:078?l?4290/=9758e9m51>=9?10e4<50;&20<<?l2d:854>7:9j=4<72-;?576k;o37<?7?32c2<7>5$06:>=b<f8>36<74;h:e>5<#9=314i5a15:95d=<a1o1<7*>488;`>h6<10:n65f8`83>!73132o7c?;8;3`?>o0n3:1(<:6:9f8j42?28n07d9;:18'51?=0m1e=9651d98m25=83.:8447d:l20=<6n21di84?:%37=?c13g;?47>4;ng7>5<#9=31i;5a15:95>=hm:0;6)?;9;g5?k7303807bk=:18'51?=m?1e=9653:9j=f<72-;?577k;o37<?6<3`3i6=4+15;9=a=i9=21=65f9`83>!73133o7c?;8;08?l?>290/=9759e9m51>=;21dil4?:%37=?ce3g;?47>4;ng:>5<#9=31io5a15:95>=hm10;6)?;9;ga?k7303807bk8:18'51?=mk1e=9653:9l`<<72-;?57jn;o37<?6<3fn36=4+15;9`d=i9=21=65`d783>!7313nj7c?;8;08?jb2290/=975d`9m51>=;21dh94?:%37=?bf3g;?47:4;nf0>5<#9=31hl5a15:91>=hl;0;6)?;9;fb?k7303<07bj>:18'51?=lh1e=9657:9l`5<72-;?57jn;o37<?><3fim6=4+15;9`d=i9=21565`cd83>!7313nj7c?;8;c8?jec290/=975d`9m51>=j21doo4?:%37=?bf3g;?47m4;nab>5<#9=31hl5a15:9`>=hk00;6)?;9;fb?k7303o07bm7:18'51?=lh1e=965f:9lg2<72-;?57jn;o37<?7732eh:7>5$06:>ag<f8>36<?4;na6>5<#9=31hl5a15:957=<gj>1<7*>488ge>h6<10:?65`c283>!7313nj7c?;8;37?>id:3:1(<:6:ec8j42?28?07bk?:18'51?=lh1e=9651798ka`=83.:844ka:l20=<6?21dhh4?:%37=?bf3g;?47?7;:mg`?6=,8>26io4n06;>4?<3fnh6=4+15;9`d=i9=21=l54oe`94?"6<00om6`>4982f>=hl>0;6)?;9;fb?k7303;h76alc;29 42>2mk0b<:7:0f8?je6290/=975d`9m51>=9l10cn>50;&20<<ci2d:854>f:9j53g=83.:844>6c9m51>=821b=;750;&20<<6>k1e=9651:9j53>=83.:844>6c9m51>=:21b=;950;&20<<6>k1e=9653:9j2g<72-;?578l;o37<?6<3`<j6=4+15;92f=i9=21=65f6983>!7313<h7c?;8;08?l00290/=9756b9m51>=;21b:;4?:%37=?0d3g;?47:4;h46>5<#9=31:n5a15:91>=n>=0;6)?;9;4`?k7303<07d8<:18'51?=>j1e=9657:9j27<72-;?578l;o37<?><3`<:6=4+15;92f=i9=21565f6183>!7313<h7c?;8;c8?l3a290/=9756b9m51>=j21b9i4?:%37=?0d3g;?47m4;h7`>5<#9=31:n5a15:9`>=n=k0;6)?;9;4`?k7303o07d;n:18'51?=>j1e=965f:9j1<<72-;?578l;o37<?7732c>47>5$06:>3e<f8>36<?4;h74>5<#9=31:n5a15:957=<a<<1<7*>4885g>h6<10:?65f5483>!7313<h7c?;8;37?>o2<3:1(<:6:7a8j42?28?07d9=:18'51?=>j1e=9651798m27=83.:8449c:l20=<6?21b;=4?:%37=?0d3g;?47?7;:k5b?6=,8>26;m4n06;>4?<3`<n6=4+15;92f=i9=21=l54i7f94?"6<00=o6`>4982f>=n>00;6)?;9;4`?k7303;h76g:e;29 42>2?i0b<:7:0f8?l34290/=9756b9m51>=9l10e8<50;&20<<1k2d:854>f:9~f43b290io7>50z&21g<6<k1C=:>4H043?_502jq>6;4i:01955<6<3;:6n4n:e826??=ug?;6?:4n439=>hen3:0bh?51:&fa?`73-om6k>4$g196>"a<380(k;52:&e2?4<,o=1>6*i8;08 c?=:2.mm7<4$g`96>"ak380(kj52:&ea?4<,ol1>6*>0181?!779380(<>=:39'555=:2.:<94=;%331?4<,8:=6?5+11596>"681097)??9;08 46f2;1/==l52:&24f<53-;;h7<4$02f>7=#99l1>6*>1181?!769380(<?=:39'545=:2.:=94=;%321?4<,8;=6?5+10596>"691097)?>9;08 47f2;1/=<l52:&25f<53-;:h7<4$03f>7=#98l1>6*>2181?!759380(<<=:39'575=:2.:>94=;%311?4<,88=6?5+13596>"6:1097)?=9;08 44f2;1/=?l52:&26f<53-;9h7<4$00f>7=#9;l1>6*>3181?!749380(<==:39'565=:2.:?94=;%301?4<,89=6?5+12596>"6;1097)?<9;08 45f2;1/=>l51:&27f<63-;8h7?;5:&215<6=h1/=8?5399'504=;11/=8=5379'502=9<<0(<;8:09'50>=92.::i4>6b9'53c=9?i0e<:l:188m16=831bii4?::k20c<722c?97>5;h37`?6=3`;?i7>5;hg`>5<<a0=1<7*>488:<>h6<10;76g66;29 42>2020b<:7:098m<3=83.:84468:l20=<532c287>5$06:><><f8>36>54i046>5<#9=31=;84n06;>5=<a8<?6=4+15;9530<f8>36<54i040>5<#9=31=;84n06;>7=<a8<96=4+15;9530<f8>36>54oc594?"6<00i46`>4983?>ie>3:1(<:6:c:8j42?2810co:50;&20<<e02d:854=;:ma7?6=,8>26o64n06;>6=<gk81<7*>488a<>h6<10?76am1;29 42>2k20b<:7:498kg6=83.:844m8:l20=<132ejj7>5$06:>g><f8>36:54o`g94?"6<00i46`>498;?>ifl3:1(<:6:c:8j42?2010clm50;&20<<e02d:854n;:mbf?6=,8>26o64n06;>g=<gh31<7*>488a<>h6<10h76an8;29 42>2k20b<:7:e98kd1=83.:844m8:l20=<b32ej:7>5$06:>g><f8>36k54o`794?"6<00i46`>49824>=hi=0;6)?;9;`;?k7303;:76an3;29 42>2k20b<:7:008?jg5290/=975b99m51>=9:10cl?50;&20<<e02d:854>4:9le5<72-;?57l7;o37<?7232eii7>5$06:>g><f8>36<84;n`g>5<#9=31n55a15:952=<gki1<7*>488a<>h6<10:465`bc83>!7313h37c?;8;3:?>iei3:1(<:6:c:8j42?28k07bl6:18'51?=j11e=9651c98kg3=83.:844m8:l20=<6k21dml4?:%37=?d?3g;?47?k;:m:b?6=,8>26o64n06;>4c<3f3n6=4+15;9f==i9=21=k54i0f94?"6<00:i6`>4983?>o6k3:1(<:6:0g8j42?2810e<l50;&20<<6m2d:854=;:k2e?6=,8>26<k4n06;>6=<a;<1<7*>4882a>h6<10?76g=5;29 42>28o0b<:7:498m72=83.:844>e:l20=<132c9?7>5$06:>4c<f8>36:54i3094?"6<00:i6`>498;?>o593:1(<:6:0g8j42?2010e?>50;&20<<6m2d:854n;:k2b?6=,8>26<k4n06;>g=<a831<7*>4882a>h6<10h76g>8;29 42>28o0b<:7:e98m7e=83.:844=d:l20=<732c9n7>5$06:>7b<f8>36<54i3c94?"6<009h6`>4981?>o513:1(<:6:3f8j42?2:10e>;50;&20<<5l2d:854;;:k00?6=,8>26?j4n06;>0=<a:91<7*>4881`>h6<10=76g<2;29 42>2;n0b<:7:698m67=83.:844=d:l20=<?32c8<7>5$06:>7b<f8>36454i3d94?"6<009h6`>498b?>o5m3:1(<:6:3f8j42?2k10e?650;&20<<5l2d:854l;:k13?6=,8>26?j4n06;>a=<a1i1<7*>488;`>h6<10;76g7b;29 42>21n0b<:7:098m=?=83.:8447d:l20=<532c347>5$06:>=b<f8>36>54i9594?"6<003h6`>4987?>o?>3:1(<:6:9f8j42?2<10e5;50;&20<<?l2d:8549;:k;0?6=,8>265j4n06;>2=<a191<7*>488;`>h6<10376g72;29 42>21n0b<:7:898m=7=83.:8447d:l20=<f32c3<7>5$06:>=b<f8>36o54i6g94?"6<003h6`>498`?>o0l3:1(<:6:9f8j42?2m10e:m50;&20<<?l2d:854j;:k4f?6=,8>265j4n06;>c=<a>k1<7*>488;`>h6<10:<65f7883>!73132o7c?;8;32?>o003:1(<:6:9f8j42?28807d98:18'51?=0m1e=9651298m20=83.:8447d:l20=<6<21b;84?:%37=?>c3g;?47?:;:k:7?6=,8>265j4n06;>40<3`396=4+15;9<a=i9=21=:54i8394?"6<003h6`>4982<>=n190;6)?;9;:g?k7303;276g7f;29 42>21n0b<:7:0c8?l>b290/=9758e9m51>=9k10e5o50;&20<<?l2d:854>c:9j3c<72-;?576k;o37<?7c32c<87>5$06:>=b<f8>36<k4;h50>5<#9=314i5a15:95c=<gl?1<7*>488f2>h6<10;76aj4;29 42>2l<0b<:7:098k`5=83.:844j6:l20=<532en>7>5$06:>`0<f8>36>54i8a94?"6<002h6`>4983?>o>j3:1(<:6:8f8j42?2810e4o50;&20<<>l2d:854=;:k:=?6=,8>264j4n06;>6=<glk1<7*>488ff>h6<10;76aj9;29 42>2lh0b<:7:098k`>=83.:844jb:l20=<532en;7>5$06:>`d<f8>36>54oe;94?"6<00om6`>4983?>ic03:1(<:6:ec8j42?2810ci850;&20<<ci2d:854=;:mg1?6=,8>26io4n06;>6=<gm>1<7*>488ge>h6<10?76ak3;29 42>2mk0b<:7:498ka4=83.:844ka:l20=<132eo=7>5$06:>ag<f8>36:54oe294?"6<00om6`>498;?>idn3:1(<:6:ec8j42?2010cnk50;&20<<ci2d:854n;:m``?6=,8>26io4n06;>g=<gjh1<7*>488ge>h6<10h76ala;29 42>2mk0b<:7:e98kf?=83.:844ka:l20=<b32eh47>5$06:>ag<f8>36k54ob594?"6<00om6`>49824>=hk?0;6)?;9;fb?k7303;:76al5;29 42>2mk0b<:7:008?je3290/=975d`9m51>=9:10cn=50;&20<<ci2d:854>4:9lg7<72-;?57jn;o37<?7232en<7>5$06:>ag<f8>36<84;nfe>5<#9=31hl5a15:952=<gmo1<7*>488ge>h6<10:465`de83>!7313nj7c?;8;3:?>ick3:1(<:6:ec8j42?28k07bjm:18'51?=lh1e=9651c98ka1=83.:844ka:l20=<6k21don4?:%37=?bf3g;?47?k;:m`5?6=,8>26io4n06;>4c<3fi;6=4+15;9`d=i9=21=k54i04b>5<#9=31=;l4n06;>5=<a8<26=4+15;953d<f8>36<54i04;>5<#9=31=;l4n06;>7=<a8<<6=4+15;953d<f8>36>54i7`94?"6<00=o6`>4983?>o1i3:1(<:6:7a8j42?2810e;650;&20<<1k2d:854=;:k53?6=,8>26;m4n06;>6=<a?<1<7*>4885g>h6<10?76g95;29 42>2?i0b<:7:498m32=83.:8449c:l20=<132c=?7>5$06:>3e<f8>36:54i7094?"6<00=o6`>498;?>o193:1(<:6:7a8j42?2010e;>50;&20<<1k2d:854n;:k6b?6=,8>26;m4n06;>g=<a<n1<7*>4885g>h6<10h76g:c;29 42>2?i0b<:7:e98m0d=83.:8449c:l20=<b32c>m7>5$06:>3e<f8>36k54i4;94?"6<00=o6`>49824>=n=10;6)?;9;4`?k7303;:76g:7;29 42>2?i0b<:7:008?l31290/=9756b9m51>=9:10e8;50;&20<<1k2d:854>4:9j11<72-;?578l;o37<?7232c<>7>5$06:>3e<f8>36<84;h52>5<#9=31:n5a15:952=<a>:1<7*>4885g>h6<10:465f6g83>!7313<h7c?;8;3:?>o1m3:1(<:6:7a8j42?28k07d8k:18'51?=>j1e=9651c98m3?=83.:8449c:l20=<6k21b9h4?:%37=?0d3g;?47?k;:k67?6=,8>26;m4n06;>4c<3`?96=4+15;92f=i9=21=k54}c36b?6=jj0;6=u+14`951d<@8=;7E?90:X03?e|=3<1j7?<:02951<693i1m7j5138:>xh2838?7c;>:89mfc<73go:6<5+ed8e4>"bn3l;7)h<:39'b1<53-l>6?5+f781?!`02;1/j54=;%d:>7=#nh097)hm:39'bf<53-lo6?5+fd81?!`a2;1/==>52:&244<53-;;>7<4$020>7=#99>1>6*>0481?!77>380(<>8:39'55>=:2.:<44=;%33e?4<,8:i6?5+11a96>"68m097)??e;08 46a2;1/=<>52:&254<53-;:>7<4$030>7=#98>1>6*>1481?!76>380(<?8:39'54>=:2.:=44=;%32e?4<,8;i6?5+10a96>"69m097)?>e;08 47a2;1/=?>52:&264<53-;9>7<4$000>7=#9;>1>6*>2481?!75>380(<<8:39'57>=:2.:>44=;%31e?4<,88i6?5+13a96>"6:m097)?=e;08 44a2;1/=>>52:&274<53-;8>7<4$010>7=#9:>1>6*>3481?!74>380(<=8:39'56>=:2.:?44=;%30e?4<,89i6<5+12a95>"6;m0:885+142950g<,8?:6>64$071>6><,8?86>84$077>4313-;>;7?4$07;>4=#9?n1=;m4$04f>40d3`;?o7>5;h63>5<<aln1<75f15d94?=n<<0;66g>4e83>>o6<l0;66gjc;29?l?0290/=975999m51>=821b5;4?:%37=???3g;?47?4;h;6>5<#9=31555a15:96>=n1=0;6)?;9;;;?k7303907d?95;29 42>28<=7c?;8;28?l71<3:1(<:6:045?k7303;07d?93;29 42>28<=7c?;8;08?l71:3:1(<:6:045?k7303907bl8:18'51?=j11e=9650:9lf3<72-;?57l7;o37<?7<3fh?6=4+15;9f==i9=21>65`b283>!7313h37c?;8;18?jd5290/=975b99m51>=<21dn<4?:%37=?d?3g;?47;4;n`3>5<#9=31n55a15:92>=hio0;6)?;9;`;?k7303=07boj:18'51?=j11e=9658:9lea<72-;?57l7;o37<??<3fkh6=4+15;9f==i9=21m65`ac83>!7313h37c?;8;`8?jg>290/=975b99m51>=k21dm54?:%37=?d?3g;?47j4;nc4>5<#9=31n55a15:9a>=hi?0;6)?;9;`;?k7303l07bo::18'51?=j11e=9651198kd2=83.:844m8:l20=<6921dm>4?:%37=?d?3g;?47?=;:mb6?6=,8>26o64n06;>45<3fk:6=4+15;9f==i9=21=954o`294?"6<00i46`>49821>=hjl0;6)?;9;`;?k7303;=76amd;29 42>2k20b<:7:058?jdd290/=975b99m51>=9110col50;&20<<e02d:854>9:9lfd<72-;?57l7;o37<?7f32ei57>5$06:>g><f8>36<l4;n`6>5<#9=31n55a15:95f=<ghk1<7*>488a<>h6<10:h65`9g83>!7313h37c?;8;3f?>i>m3:1(<:6:c:8j42?28l07d?k:18'51?=9l1e=9650:9j5f<72-;?57?j;o37<?7<3`;i6=4+15;95`=i9=21>65f1`83>!7313;n7c?;8;18?l41290/=9751d9m51>=<21b>84?:%37=?7b3g;?47;4;h07>5<#9=31=h5a15:92>=n::0;6)?;9;3f?k7303=07d<=:18'51?=9l1e=9658:9j64<72-;?57?j;o37<??<3`8;6=4+15;95`=i9=21m65f1g83>!7313;n7c?;8;`8?l7>290/=9751d9m51>=k21b=54?:%37=?7b3g;?47j4;h0`>5<#9=31>i5a15:94>=n:k0;6)?;9;0g?k7303;07d<n:18'51?=:m1e=9652:9j6<<72-;?57<k;o37<?5<3`9>6=4+15;96a=i9=21865f3583>!73138o7c?;8;78?l54290/=9752e9m51>=>21b??4?:%37=?4c3g;?4794;h12>5<#9=31>i5a15:9<>=n;90;6)?;9;0g?k7303307d<i:18'51?=:m1e=965a:9j6`<72-;?57<k;o37<?d<3`836=4+15;96a=i9=21o65f2683>!73138o7c?;8;f8?l>d290/=9758e9m51>=821b4o4?:%37=?>c3g;?47?4;h::>5<#9=314i5a15:96>=n010;6)?;9;:g?k7303907d68:18'51?=0m1e=9654:9j<3<72-;?576k;o37<?3<3`2>6=4+15;9<a=i9=21:65f8583>!73132o7c?;8;58?l>4290/=9758e9m51>=021b4?4?:%37=?>c3g;?4774;h:2>5<#9=314i5a15:9e>=n090;6)?;9;:g?k7303h07d9j:18'51?=0m1e=965c:9j3a<72-;?576k;o37<?b<3`=h6=4+15;9<a=i9=21i65f7c83>!73132o7c?;8;d8?l1f290/=9758e9m51>=9910e:750;&20<<?l2d:854>1:9j3=<72-;?576k;o37<?7532c<;7>5$06:>=b<f8>36<=4;h55>5<#9=314i5a15:951=<a>?1<7*>488;`>h6<10:965f9283>!73132o7c?;8;35?>o>:3:1(<:6:9f8j42?28=07d7>:18'51?=0m1e=9651998m<6=83.:8447d:l20=<6121b4k4?:%37=?>c3g;?47?n;:k;a?6=,8>265j4n06;>4d<3`2j6=4+15;9<a=i9=21=n54i6d94?"6<003h6`>4982`>=n?=0;6)?;9;:g?k7303;n76g83;29 42>21n0b<:7:0d8?jc2290/=975e79m51>=821di94?:%37=?c13g;?47?4;ng0>5<#9=31i;5a15:96>=hm;0;6)?;9;g5?k7303907d7l:18'51?=1m1e=9650:9j=g<72-;?577k;o37<?7<3`3j6=4+15;9=a=i9=21>65f9883>!73133o7c?;8;18?jcf290/=975ec9m51>=821di44?:%37=?ce3g;?47?4;ng;>5<#9=31io5a15:96>=hm>0;6)?;9;ga?k7303907bj6:18'51?=lh1e=9650:9l`=<72-;?57jn;o37<?7<3fn=6=4+15;9`d=i9=21>65`d483>!7313nj7c?;8;18?jb3290/=975d`9m51>=<21dh>4?:%37=?bf3g;?47;4;nf1>5<#9=31hl5a15:92>=hl80;6)?;9;fb?k7303=07bj?:18'51?=lh1e=9658:9lgc<72-;?57jn;o37<??<3fin6=4+15;9`d=i9=21m65`ce83>!7313nj7c?;8;`8?jee290/=975d`9m51>=k21dol4?:%37=?bf3g;?47j4;na:>5<#9=31hl5a15:9a>=hk10;6)?;9;fb?k7303l07bm8:18'51?=lh1e=9651198kf0=83.:844ka:l20=<6921do84?:%37=?bf3g;?47?=;:m`0?6=,8>26io4n06;>45<3fi86=4+15;9`d=i9=21=954ob094?"6<00om6`>49821>=hm90;6)?;9;fb?k7303;=76akf;29 42>2mk0b<:7:058?jbb290/=975d`9m51>=9110cij50;&20<<ci2d:854>9:9l`f<72-;?57jn;o37<?7f32eon7>5$06:>ag<f8>36<l4;nf4>5<#9=31hl5a15:95f=<gji1<7*>488ge>h6<10:h65`c083>!7313nj7c?;8;3f?>id83:1(<:6:ec8j42?28l07d?9a;29 42>28<i7c?;8;28?l7113:1(<:6:04a?k7303;07d?98;29 42>28<i7c?;8;08?l71?3:1(<:6:04a?k7303907d8m:18'51?=>j1e=9650:9j2d<72-;?578l;o37<?7<3`<36=4+15;92f=i9=21>65f6683>!7313<h7c?;8;18?l01290/=9756b9m51>=<21b:84?:%37=?0d3g;?47;4;h47>5<#9=31:n5a15:92>=n>:0;6)?;9;4`?k7303=07d8=:18'51?=>j1e=9658:9j24<72-;?578l;o37<??<3`<;6=4+15;92f=i9=21m65f5g83>!7313<h7c?;8;`8?l3c290/=9756b9m51>=k21b9n4?:%37=?0d3g;?47j4;h7a>5<#9=31:n5a15:9a>=n=h0;6)?;9;4`?k7303l07d;6:18'51?=>j1e=9651198m0>=83.:8449c:l20=<6921b9:4?:%37=?0d3g;?47?=;:k62?6=,8>26;m4n06;>45<3`?>6=4+15;92f=i9=21=954i4694?"6<00=o6`>49821>=n?;0;6)?;9;4`?k7303;=76g81;29 42>2?i0b<:7:058?l17290/=9756b9m51>=9110e;h50;&20<<1k2d:854>9:9j2`<72-;?578l;o37<?7f32c=h7>5$06:>3e<f8>36<l4;h4:>5<#9=31:n5a15:95f=<a<o1<7*>4885g>h6<10:h65f5283>!7313<h7c?;8;3f?>o2:3:1(<:6:7a8j42?28l07p}>4283>gb|58=:6k?4=07`>4b<58?h6<m4=07`>77<58?h6?>4=07`>4`<58?h6<74=07`>4><58?h6?m4=07`>7d<58?h6?k4=07`>7><58?h6?94=07`>=e<58?h65l4=07`>=?<58?h6564=07`>=1<58?h6584=07`>=3<58?h65:4=07`>=5<58?h65<4=07`>=7<58?h65>4=07`>2c<58?h6:j4=07`>2e<58?h6:l4=07`>2g<58?h6:74=07`>2><58?h6:94=07`>20<58?h6:;4=07`><5<58?h64<4=07`><e<58?h64l4=07`><g<58?h6<:l;<36g?73m27:9n4>4g9>50b=9m16=8j51b9>50b=:816=8j5219>50b=9o16=8j5189>50b=9116=8j52b9>50b=:k16=8j52d9>50b=:116=8j5269>50b=0j16=8j58c9>50b=0016=8j5899>50b=0>16=8j5879>50b=0<16=8j5859>50b=0:16=8j5839>50b=0816=8j5819>50b=?l16=8j57e9>50b=?j16=8j57c9>50b=?h16=8j5789>50b=?116=8j5769>50b=??16=8j5749>50b=1:16=8j5939>50b=1j16=8j59c9>50b=1h16=8j515a8943c28>n70?:d;37b>;6=l0:h63>5d82g>;6=l09=63>5d814>;6=l0:j63>5d82=>;6=l0:463>5d81g>;6=l09n63>5d81a>;6=l09463>5d813>;6=l03o63>5d8;f>;6=l03563>5d8;<>;6=l03;63>5d8;2>;6=l03963>5d8;0>;6=l03?63>5d8;6>;6=l03=63>5d8;4>;6=l0<i63>5d84`>;6=l0<o63>5d84f>;6=l0<m63>5d84=>;6=l0<463>5d843>;6=l0<:63>5d841>;6=l02?63>5d8:6>;6=l02o63>5d8:f>;6=l02m63>5d820f=:9<o1=9k4=07f>42a34;>j7?k;<36b?7d34;>j7<>;<36b?4734;>j7?i;<36b?7>34;>j7?7;<36b?4d34;>j7<m;<36b?4b34;>j7<7;<36b?4034;>j78m;<36b?0f34;>j787;<36b?0534;>j78>;<36b?0734;>j7;6;<36b?3?34;>j7;8;<36b?1734;>j78i;<36b?0b34;>j76l;<36b?>e34;>j766;<36b?>?34;>j768;<36b?>134;>j76:;<36b?>334;>j76<;<36b?>534;>j76>;<36b?>734;>j79j;<36b?1c34;>j79l;<36b?1e34;>j79n;<36b?1>34;>j797;<36b?1034;>j799;<36b?1234;>j77<;<36b??534;>j77>;<36b??734;>j76i;<36b??034;>j779;<36b??234;>j77;;<36b??d34;>j77m;<36b??f34;>j776;<36b?73k27:9k4>4d9>50`=9=l0q~<l1;296~X5k816=8m5c19~w7e52909wS<l2:?21f<d92wx>nj50;0xZ7ec34;>o7ml;|q1`=<72;qU>i64=07`>a1<uz8oo7>52z\1`f=:9<i1ho5rs3fg>5<5sW8oh63>5b8gg>{t:mo1<7<t^3ff?872k3no7p}=dg83>7}Y:ml01<;l:eg8yv4b83:1>vP=e19>50e=m>1v?k>:181[4b927:9i4l0:p6f5=838pR?m<;<36`?e63ty9o94?:3y]6f2<58?o6nm4}r0`1?6=:rT9o85214f9`2=z{;i=6=4={_0`2>;6=m0on6s|2b594?4|V;i<70?:d;f`?xu5k10;6?uQ2b:8943c2mn0q~<l9;296~X5k016=8j5dd9~w7ef2909wS<la:?21a<b?2wx>nl50;0xZ7ee34;>i7m?;|q1gf<72;qU>nm4=07f>f7<uz8hi7>52z\1g`=:9<o1on5rs3ae>5<5sW8hj63>5d8g3>{t:m:1<7<t^3f3?872m3ni7p}=d083>7}Y:m;01<;j:ea8yv4c:3:1>vP=d39>50c=lm1v?j<:181[4c;27:9h4ke:p6a2=838pR?j;;<36a?c03ty9h84?:3y]6a3<58?m6n>4}r0g2?6=:rT9h;5214d9g4=z{;n<6=4={_0g3>;6=o0ho6s|2e;94?4|V;n270?:f;f4?xu5lh0;6?uQ2ec8943a2mh0q~<;0;296~X5<916=8m59d9~w7262909wS<;1:?21f<>n2wx>;750;0xZ70>34;>o7on;|q131<72;qU>::4=07`>g3<uz8<j7>52z\13c=:9<i1n45rs3:b>5<5sW83m63>5b8ae>{t:0?1<7<t^3;6?872k3hi7p}=a183>7}Y:h:01<;l:ca8yv4fj3:1>vP=ac9>50e=m;1v?l9:181[4e>27:9i46e:p614=838pR?:=;<36`??a3ty98i4?:3y]61b<58?o6lo4}r06<?6=:rT9955214f9f0=z{;<96=4={_056>;6=m0i56s|27194?4|V;<870?:d;`b?xu5>=0;6?uQ2768943c2kh0q~<95;296~X5><16=8j5bb9~w7012909wS<96:?21a<b:2wx>;950;0xZ70034;>i77j;|q12=<72;qU>;64=07f><`<uz8=m7>52z\12d=:9<o1ml5rs34a>5<5sW8=n63>5d8a1>{t:?i1<7<t^34`?872m3h27p}=6e83>7}Y:?n01<;j:cc8yv41m3:1>vP=6d9>50c=jk1v?8i:181[41n27:9h4mc:p626=838pR?9?;<36a?c53ty9;<4?:3y]627<58?m64k4}r046?6=:rT9;?5214d9=c=z{;=86=4={_047>;6=o0jm6s|26794?4|V;=>70?:f;`6?xu5??0;6?uQ2648943a2k30q~<87;296~X5?>16=8m5be9~w71?2909wS<88:?21f<em2wx>:750;0xZ71>34;>o7o?;|q13d<72;qU>:o4=07`>d7<uz8<n7>52z\13g=:9<i1m?5rs35`>5<5sW8<o63>5b8b7>{t:>n1<7<t^35g?872k3k?7p}=7d83>7}Y:>o01<;l:`78yv4?83:1>vP=819>50e=m:1v?6>:181[4?927:9i4md:p6=4=838pR?6=;<36`?db3ty94>4?:3y]6=5<58?o6l>4}r0;0?6=:rT9495214f9e4=z{;2>6=4={_0;1>;6=m0j>6s|29494?4|V;2=70?:d;c0?xu50>0;6?uQ2958943c2h>0q~<78;296~X50116=8j5a49~w7>>2909wS<79:?21a<b;2wx>5l50;0xZ7>e34;>i7lk;|q1<f<72;qU>5m4=07f>gc<uz83h7>52z\1<a=:9<o1m=5rs3:f>5<5sW83i63>5d8b5>{t:1l1<7<t^3:e?872m3k97p}=9183>7}Y:0:01<;j:`18yv4>93:1>vP=909>50c=i=1v?7=:181[4>:27:9h4n5:p6<5=838pR?7<;<36a?c43ty9594?:3y]6<2<58?m6oj4}r0:2?6=:rT95;5214d9f`=z{;3<6=4={_0:3>;6=o0j<6s|28:94?4|V;3370?:f;c2?xu5100;6?uQ28;8943a2h80q~<6a;296~X51h16=8m5a79~w7?e2909wS<6b:?21f<f?2wx>4m50;0xZ7?d34;>o7o7;|q1=a<72;qU>4j4=07`>d?<uz82i7>52z\1=`=:9<i1mo5rs3;e>5<5sW82j63>5b8bg>{t:h;1<7<t^3c2?872k3ko7p}=a383>7}Y:h801<;l:`g8yv4f;3:1>vP=a29>50e=m=1v?o;:181[4f<27:9i4n6:p6d3=838pR?o:;<36`?g03ty9m;4?:3y]6d0<58?o6l64}r0b3?6=:rT9m:5214f9e<=z{;k36=4={_0b<>;6=m0jn6s|2`;94?4|V;k270?:d;c`?xu5ih0;6?uQ2`c8943c2hn0q~<nc;296~X5ij16=8j5ad9~w7gc2909wS<nd:?21a<b<2wx>lk50;0xZ7gb34;>i7o9;|q1ec<72;qU>lh4=07f>d1<uz8i<7>52z\1f5=:9<o1m55rs3`2>5<5sW8i=63>5d8b=>{t:k81<7<t^3`1?872m3ki7p}=b283>7}Y:k901<;j:`a8yv4e<3:1>vP=b59>50c=im1v?l::181[4e=27:9h4ne:p6g1=838pR?l8;<36a?c33ty9n54?:3y]6g><58?m6l84}r0a=?6=:rT9n45214d9e2=z{;hj6=4={_0ae>;6=o0j46s|2c`94?4|V;hi70?:f;c:?xu5jj0;6?uQ2ca8943a2hh0q~<md;296~X5jm16=8m5ag9~w7db2909wS<me:?21f<e82wx>oh50;0xZ7da34;>o7l>;|q1g5<72;qU>n>4=07`>g4<uz8??7>52z\106=:9<i1n>5rs367>5<5sW8?863>5b8a0>{t:=?1<7<t^366?872k3h=7p}=4783>7}Y:=<01<;l:c58yv43?3:1>vP=469>50e=m<1v?:7:181[43027:9i4nf:p61?=838pR?:6;<36`?d73ty98l4?:3y]61g<58?o6o?4}r07f?6=:rT98o5214f9f7=z{;>h6=4={_07g>;6=m0i?6s|25g94?4|V;>n70?:d;`7?xu5<o0;6?uQ25d8943c2k<0q~<:0;296~X5=916=8j5b69~w7362909wS<:1:?21a<b=2wx>8<50;0xZ73534;>i7oi;|q116<72;qU>8=4=07f>g6<uz8>87>52z\111=:9<o1n<5rs376>5<5sW8>963>5d8a6>{t:<<1<7<t^375?872m3h87p}=5683>7}Y:<=01<;j:c68yv4213:1>vP=589>50c=j?1v?;n:181[42i27:9h4m7:p60d=838pR?;m;<36a?c23ty99n4?:3y]60e<58?m6lh4}r06`?6=:rT99i5214d9f5=z{;?n6=4={_06a>;6=o0i=6s|24d94?4|V;?m70?:f;`1?xu5>90;6?uQ2728943a2k90q~<j3;29=~X5m:16=8m5ee9>50e=9=n01<;k:df8943c28>o70?:e;gg?872m3;?h63>5g8f`>;6=o0:8i5rs0;g>5<2sW;2h63>5b871>;6=m0?963>5d871>;6=o0?96s|2d094?3|V;o970?:c;g`?872l3oh70?:e;g`?872n3oh7p}>9b83>0}Y90i01<;l:528943c2=:01<;j:528943a2=:0q~<>f;296~X59o16=8m5729~w7472909wS<=0:?21f<0<2wx>?l50;0xZ74e34;>o79i;|q173<72;qU>>84=07`>=g<uz88m7>52z\17d=:9<i14h5rs31a>5<5sW88n63>5b8;b>{t::i1<7<t^31`?872k33;7p}=3e83>7}Y::n01<;l:838yv44m3:1>vP=3d9>50e=101v?=i:181[44n27:9i483:p677=838pR?<>;<36`?133ty9>?4?:3y]674<58?o6:h4}r017?6=:rT9>>5214f9<d=z{;8?6=4={_010>;6=m03i6s|23794?4|V;8>70?:d;:e?xu5:?0;6?uQ2348943c20:0q~<=7;296~X5:>16=8j5909~w74?2909wS<=8:?21a<>12wx>?750;0xZ74>34;>i79<;|q16d<72;qU>?o4=07f>22<uz89o7>52z\16f=:9<o1;k5rs30g>5<5sW89h63>5d8;e>{t:;o1<7<t^30f?872m32n7p}=2g83>7}Y:;l01<;j:9d8yv4483:1>vP=319>50c=191v?=>:181[44927:9h461:p664=838pR?==;<36a??>3ty9?>4?:3y]665<58?m6:=4}r000?6=:rT9?95214d931=z{;9>6=4={_001>;6=o0<j6s|22594?4|V;9<70?:f;:b?xu5;10;6?uQ22:8943a21o0q~?70;291~X60916=8m52g9>50b=:o16=8k52g9>50`=:o1v<6>:186[7?927:9n4<0:?21a<4827:9h4<0:?21c<482wx=5<50;7xZ4>534;>o7=>;<36`?5634;>i7=>;<36b?563ty:4>4?:4y]5=5<58?h6><4=07g>64<58?n6><4=07e>64<uz;387>55z\2<1=:9<i1?>5214f976=:9<o1?>5214d976=z{82>6=4:{_3;1>;6=j08863>5e800>;6=l08863>5g800>{t91<1<7;t^0:5?872k39>70?:d;16?872m39>70?:f;16?xu60>0;68uQ1958943d2;301<;k:3;8943b2;301<;i:3;8yv7?03:19vP>899>50e=:h16=8j52`9>50c=:h16=8h52`9~w7c0290:=vP=e69>50e=9?k01<;l:04:?872k3;=463>5b8222=:9<n1=;o4=07g>40>34;>h7?98:?21a<6>>16=8k517c8943b28<270?:e;35<>;6=l0:::5214d953g<58?m6<86;<36b?71027:9k4>669~w4?b2909wS?6e:?21f<2:2wx=4h50;0xZ4?a34;>o7;<;|q2g2<72;qU=n94=07`>0c<uz;o>7>52z\2`7=:9<i1:45rs0fg>5<5sW;oh63>5b85`>{t9l21<7<t^0g;?872k3<n7p}>f283>7}Y9o901<;l:7d8yv7am3:1>vP>fd9>50e=?91v?>6:181[47127:9n464:p642=838pR??;;<36`?353ty:m=4?:3y]5d6<58?o68=4}r3bf?6=:rT:mo5214f91`=z{8h=6=4={_3a2>;6=m0=56s|1b294?4|V8i;70?:d;4g?xu6k80;6?uQ1b38943c2?o0q~?l2;296~X6k;16=8j56g9~w4e42909wS?l3:?21a<082wx=n:50;0xZ4e334;>h77;;|q2g0<72;qU=n;4=07f>04<uz;h:7>52z\2g3=:9<o19>5rs0a;>5<5sW;h463>5d86a>{t9j31<7<t^0a:?872m3<27p}>c`83>7}Y9jk01<;j:7f8yv7dj3:1>vP>cc9>50c=>l1v<ml:181[7dk27:9h49f:p5fb=838pR<mk;<36a?173ty:oh4?:3y]5fc<58?n64:4}r3`b?6=:rT:ok5214d917=z{8n;6=4={_3g4>;6=o0>?6s|1e394?4|V8n:70?:f;7f?xu6l:0;6?uQ1e18943a2?30q~?k4;296~X6l=16=8h56e9~w4b22909wS?k5:?21f<092wx=i850;0xZ4b134;>o79=;|q2`2<72;qU=i94=07`>02<uz;o47>52z\2`==:9<i1985rs0f:>5<5sW;o563>5b862>{t9mk1<7<t^0fb?872k3?<7p}>dc83>7}Y9mh01<;l:4:8yv7ck3:1>vP>db9>50e==01v<jj:181[7cm27:9n465:p5a`=838pR<ji;<36`?163ty:i=4?:3y]5`6<58?o6:<4}r3f5?6=:rT:i<5214f911=z{8o96=4={_3f6>;6=m0>96s|1d194?4|V8o870?:d;75?xu6m=0;6?uQ1d68943c2<=0q~?j5;296~X6m<16=8j5599~w4c12909wS?j6:?21a<212wx=h950;0xZ4c034;>h77:;|q2a<<72;qU=h74=07f>27<uz;nm7>52z\2ad=:9<o1;?5rs0ga>5<5sW;nn63>5d860>{t9li1<7<t^0g`?872m3?>7p}>ee83>7}Y9ln01<;j:448yv7bm3:1>vP>ed9>50c==>1v<ki:181[7bn27:9h4:8:p5c6=838pR<h?;<36a?3>3ty:j<4?:3y]5c7<58?n64;4}r3e6?6=:rT:j?5214d934=z{8l?6=4={_3e0>;6=o0<>6s|1g794?4|V8l>70?:f;77?xu6n?0;6?uQ1g48943a2<?0q~?i7;296~X6n>16=8h5579~w4`?2909wS?i8:?21f<2i2wx=k750;0xZ4`>34;>o7;m;|q2bd<72;qU=ko4=07`>0e<uz;mn7>52z\2bg=:9<i19i5rs0d`>5<5sW;mo63>5b86b>{t9on1<7<t^0dg?872k3<;7p}>fg83>7}Y9ol01<;l:738yv4783:1>vP=019>50e=>;1v?>>:181[47927:9n466:p654=838pR?>=;<36`?3f3ty9<>4?:3y]655<58?o68l4}r030?6=:rT9<95214f91f=z{;:>6=4={_031>;6=m0>h6s|21494?4|V;:=70?:d;7e?xu58>0;6?uQ2158943c2?:0q~<?8;296~X58116=8j5609~w76f2909wS<?a:?21a<1:2wx>=l50;0xZ76e34;>h779;|q14f<72;qU>=m4=07f>0g<uz8;h7>52z\14a=:9<o19o5rs32f>5<5sW8;i63>5d86g>{t:9l1<7<t^32e?872m3?o7p}=1183>7}Y:8:01<;j:4d8yv4693:1>vP=109>50c=>91v??=:181[46:27:9h491:p645=838pR??<;<36a?053ty9=84?:3y]643<58?n6484}r022?6=:rT9=;5214d91d=z{;;<6=4={_023>;6=o0>n6s|20:94?4|V;;370?:f;7`?xu5900;6?uQ20;8943a2<n0q~<>a;296~X59h16=8h55g9~w77e2909wS<>b:?21f<1;2wx><m50;0xZ77d34;>o78;;|q15a<72;qU><j4=07`>33<uz8:i7>52z\15`=:9<i1:;5rs0c2>5<5sW;j=63>5b853>{t9h81<7<t^0c1?872k3<37p}>a283>7}Y9h901<;l:7c8yv7f<3:1>vP>a59>50e=>k1v<o::181[7f=27:9n467:p5d0=838pR<o9;<36`?043ty:m:4?:3y]5d1<58?o6;:4}r3b<?6=:rT:m55214f920=z{8k26=4={_3b=>;6=m0=:6s|1`c94?4|V8kj70?:d;44?xu6ij0;6?uQ1`a8943c2?20q~?nd;296~X6im16=8j56`9~w4gb2909wS?ne:?21a<1j2wx=lh50;0xZ4ga34;>h778;|q2f5<72;qU=o>4=07f>35<uz;i=7>52z\2f4=:9<o1:95rs0`1>5<5sW;i>63>5d851>{t9k91<7<t^0`0?872m3<=7p}>b583>7}Y9k>01<;j:758yv7e=3:1>vP>b49>50c=>11v<l8:181[7e?27:9h49a:p5g>=838pR<l7;<36a?0e3ty:n44?:3y]5g?<58?n6494}r3ae?6=:rT:nl5214d926=z{8hi6=4={_3af>;6=o0=86s|1ca94?4|V8hh70?:f;46?xu6jm0;6?uQ1cf8943a2?<0q~?me;296~X6jl16=8h5669~w41?290>wS?88:?21f<5:27:9i4=2:?21`<5:27:9k4=2:p52?=83?pR<96;<36g?4434;>h7<<;<36a?4434;>j7<<;|q23d<72<qU=:o4=07`>72<58?o6?:4=07f>72<58?m6?:4}r34f?6==rT:;o5214a960=:9<n1>85214g960=:9<l1>85rs05`>5<2sW;<o63>5b812>;6=m09:63>5d812>;6=o09:6s|16f94?3|V8=o70?:c;3b?872l3;j70?:e;3b?872n3;j7p}>7d83>0}Y9>o01<;l:0`8943c28h01<;j:0`8943a28h0q~<j5;2954}Y:l?01<;l:046?872k3;=863>5b8226=:9<i1=;<4=07g>40234;>h7?94:?21a<6>:16=8j51708943b28<>70?:e;350>;6=l0::>5214g9534<58?m6<8:;<36b?71<27:9k4>629>50`=9?80qp`;5683>4}O9?:0qc::8;295~N6>91vb9;6:182M7182we88o50;3xL4073td?9o4?:0yK536<ug>>o7>51zJ225=zf=?o6=4>{I354>{i<<o1<7?tH043?xh3=o0;6<uG1728yk2183:1=vF>619~j106290:wE?90:m034=83;pD<8?;|l726<728qC=;>4}o650?6=9rB::=5rn546>5<6sA;=<6sa47494?7|@8<;7p`;6683>4}O9?:0qc:98;295~N6>91vb986:182M7182we8;o50;3xL4073td?:o4?:0yK536<ug>=o7>51zJ225=zf=<o6=4>{I354>{i<?o1<7?tH043?xh3>o0;6<uG1728yk2083:1=vF>619~j116290:wE?90:m024=83;pD<8?;|l736<728qC=;>4}o640?6=9rB::=5rn556>5<6sA;=<6sa46494?7|@8<;7p`;7683>4}O9?:0qc:88;295~N6>91vb996:182M7182we8:o50;3xL4073td?;o4?:0yK536<ug><o7>51zJ225=zf==o6=4>{I354>{i<>o1<7?tH043?xh3?o0;6<uG1728yk2?83:1=vF>619~j1>6290:wE?90:m0=4=83;pD<8?;|l7<6<728qC=;>4}o6;0?6=9rB::=5rn5:6>5<6sA;=<6sa49494?7|@8<;7p`;8683>4}O9?:0qc:78;295~N6>91vb966:182M7182we85o50;3xL4073td?4o4?:0yK536<ug>3o7>51zJ225=zf=2o6=4>{I354>{i<1o1<7?tH043?xh30o0;6<uG1728yk2>83:1=vF>619~j1?6290:wE?90:m0<4=83;pD<8?;|l7=6<728qC=;>4}o6:0?6=9rB::=5rn5;6>5<6sA;=<6sa48494?7|@8<;7p`;9683>4}O9?:0qc:68;295~N6>91vb976:182M7182we84o50;3xL4073td?5o4?:0yK536<ug>2o7>51zJ225=zf=3o6=4>{I354>{i<0o1<7?tH043?xh31o0;6<uG1728yk2f83:1=vF>619~j1g6290:wE?90:m0d4=83;pD<8?;|l7e6<728qC=;>4}o6b0?6=9rB::=5rn5c6>5<6sA;=<6sa4`494?7|@8<;7p`;a683>4}O9?:0qc:n8;295~N6>91vb9o6:182M7182we8lo50;3xL4073td?mo4?:0yK536<ug>jo7>51zJ225=zf=ko6=4>{I354>{i<ho1<7?tH043?xh3io0;6<uG1728yk2e83:1=vF>619~j1d6290:wE?90:m0g4=83;pD<8?;|l7f6<728qC=;>4}o6a0?6=9rB::=5rn5`6>5<6sA;=<6sa4c494?7|@8<;7p`;b683>4}O9?:0qc:m8;295~N6>91vb9l6:182M7182we8oo50;3xL4073td?no4?:0yK536<ug>io7>51zJ225=zf=ho6=4>{I354>{i<ko1<7?tH043?xh3jo0;6<uG1728yk2d83:1=vF>619~j1e6290:wE?90:m0f4=83;pD<8?;|l7g6<728qC=;>4}o6`0?6=9rB::=5rn5a6>5<6sA;=<6sa4b494?7|@8<;7p`;c683>4}O9?:0qc:l8;295~N6>91vb9m6:182M7182we8no50;3xL4073td?oo4?:0yK536<ug>ho7>51zJ225=zf=io6=4>{I354>{i<jo1<7?tH043?xh3ko0;6<uG1728yk2c83:1=vF>619~j1b6290:wE?90:m0a4=83;pD<8?;|l7`6<728qC=;>4}o6g0?6=9rB::=5rn5f6>5<6sA;=<6sa4e494?7|@8<;7p`;d683>4}O9?:0qc:k8;295~N6>91vb9j6:182M7182we8io50;3xL4073td?ho4?:0yK536<ug>oo7>51zJ225=zf=no6=4>{I354>{i<mo1<7?tH043?xh3lo0;6<uG1728yk2b83:1=vF>619~j1c6290:wE?90:m0`4=83;pD<8?;|l7a6<728qC=;>4}o6f0?6=9rB::=5rn5g6>5<6sA;=<6sa4d494?7|@8<;7p`;e683>4}O9?:0qc:j8;295~N6>91vb9k6:182M7182we8ho50;3xL4073td?io4?:0yK536<ug>no7>51zJ225=zf=oo6=4>{I354>{i<lo1<7?tH043?xh3mo0;6<uG1728yk2a83:1=vF>619~j1`6290:wE?90:m0c4=83;pD<8?;|l7b6<728qC=;>4}o6e0?6=9rB::=5rn5d6>5<6sA;=<6sa4g494?7|@8<;7p`;f683>4}O9?:0qc:i8;295~N6>91vb9h6:182M7182we8ko50;3xL4073td?jo4?:0yK536<ug>mo7>51zJ225=zf=lo6=4>{I354>{i<oo1<7?tH043?xh3no0;6<uG1728yk3783:1=vF>619~j066290:wE?90:m154=83;pD<8?;|l646<728qC=;>4}o730?6=9rB::=5rn426>5<6sA;=<6sa51494?7|@8<;7p`:0683>4}O9?:0qc;?8;295~N6>91vb8>6:182M7182we9=o50;3xL4073td><o4?:0yK536<ug?;o7>51zJ225=zf<:o6=4>{I354>{i=9o1<7?tH043?xh28o0;6<uG1728yk3683:1=vF>619~j076290:wE?90:m144=83;pD<8?;|l656<728qC=;>4}o720?6=9rB::=5rn436>5<6sA;=<6sa50494?7|@8<;7p`:1683>4}O9?:0qc;>8;295~N6>91vb8?6:182M7182we9<o50;3xL4073td>=o4?:0yK536<ug?:o7>51zJ225=zf<;o6=4>{I354>{i=8o1<7?tH043?xh29o0;6<uG1728yk3583:1=vF>619~j046290:wE?90:m174=83;pD<8?;|l666<728qC=;>4}o710?6=9rB::=5rn406>5<6sA;=<6sa53494?7|@8<;7p`:2683>4}O9?:0qc;=8;295~N6>91vb8<6:182M7182we9?o50;3xL4073td>>o4?:0yK536<ug?9o7>51zJ225=zf<8o6=4>{I354>{i=;o1<7?tH043?xh2:o0;6<uG1728yk3483:1=vF>619~j056290:wE?90:m164=83;pD<8?;|l676<728qC=;>4}o700?6=9rB::=5rn416>5<6sA;=<6sa52494?7|@8<;7p`:3683>4}O9?:0qc;<8;295~N6>91vb8=6:182M7182we9>o50;3xL4073td>?o4?:0yK536<ug?8o7>51zJ225=zf<9o6=4>{I354>{i=:o1<7?tH043?xh2;o0;6<uG1728yk3383:1=vF>619~j026290:wE?90:m114=83;pD<8?;|l606<728qC=;>4}o770?6=9rB::=5rn466>5<6sA;=<6sa55494?7|@8<;7p`:4683>4}O9?:0qc;;8;295~N6>91vb8:6:182M7182we99o50;3xL4073td>8o4?:0yK536<ug??o7>51zJ225=zf<>o6=4>{I354>{i==o1<7?tH043?xh2<o0;6<uG1728yk3283:1=vF>619~j036290:wE?90:m104=83;pD<8?;|l616<728qC=;>4}o760?6=9rB::=5rn476>5<6sA;=<6sa54494?7|@8<;7p`:5683>4}O9?:0qc;:8;295~N6>91vb8;6:182M7182we98o50;3xL4073td>9o4?:0yK536<ug?>o7>51zJ225=zf<?o6=4>{I354>{i=<o1<7?tH043?xh2=o0;6<uG1728yk3183:1=vF>619~j006290:wE?90:m134=83;pD<8?;|l626<728qC=;>4}o750?6=9rB::=5rn446>5<6sA;=<6sa57494?7|@8<;7p`:6683>4}O9?:0qc;98;295~N6>91vb886:182M7182we9;o50;3xL4073td>:o4?:0yK536<ug?=o7>51zJ225=zf<<o6=4>{I354>{i=?o1<7?tH043?xh2>o0;6<uG1728yk3083:1=vF>619~j016290:wE?90:m124=83;pD<8?;|l636<728qC=;>4}o740?6=9rB::=5rn456>5<6sA;=<6sa56494?7|@8<;7p`:7683>4}O9?:0qc;88;295~N6>91vb896:182M7182we9:o50;3xL4073td>;o4?:0yK536<ug?<o7>51zJ225=zf<=o6=4>{I354>{i=>o1<7?tH043?xh2?o0;6<uG1728yk3?83:1=vF>619~j0>6290:wE?90:m1=4=83;pD<8?;|l6<6<728qC=;>4}o7;0?6=9rB::=5rn4:6>5<6sA;=<6sa59494?7|@8<;7p`:8683>4}O9?:0qc;78;295~N6>91vb866:182M7182we95o50;3xL4073td>4o4?:0yK536<ug?3o7>51zJ225=zf<2o6=4>{I354>{i=1o1<7?tH043?xh20o0;6<uG1728yk3>83:1=vF>619~j0?6290:wE?90:m1<4=83;pD<8?;|l6=6<728qC=;>4}o7:0?6=9rB::=5rn4;6>5<6sA;=<6sa58494?7|@8<;7p`:9683>4}O9?:0qc;68;295~N6>91vb876:182M7182we94o50;3xL4073td>5o4?:0yK536<ug?2o7>51zJ225=zf<3o6=4>{I354>{i=0o1<7?tH043?xh21o0;6<uG1728yk3f83:1=vF>619~j0g6290:wE?90:m1d4=83;pD<8?;|l6e6<728qC=;>4}o7b0?6=9rB::=5rn4c6>5<6sA;=<6sa5`494?7|@8<;7p`:a683>4}O9?:0qc;n8;295~N6>91vb8o6:182M7182we9lo50;3xL4073td>mo4?:0yK536<ug?jo7>51zJ225=zf<ko6=4>{I354>{zutJKOv9?5;a3<5c3;owKLOu?}ABSxFG
\ No newline at end of file
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2011 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file blk_mem_gen_v6_3.vhd when simulating
-- the core, blk_mem_gen_v6_3. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY blk_mem_gen_v6_3 IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END blk_mem_gen_v6_3;
ARCHITECTURE blk_mem_gen_v6_3_a OF blk_mem_gen_v6_3 IS
-- synthesis translate_off
COMPONENT wrapped_blk_mem_gen_v6_3
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_blk_mem_gen_v6_3 USE ENTITY XilinxCoreLib.blk_mem_gen_v6_1(behavioral)
GENERIC MAP (
c_addra_width => 7,
c_addrb_width => 9,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_family => "spartan6",
c_has_axi_id => 0,
c_has_ena => 1,
c_has_enb => 1,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 1,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file_name => "no_coe_file_loaded",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 0,
c_mem_type => 2,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 128,
c_read_depth_b => 512,
c_read_width_a => 128,
c_read_width_b => 32,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 0,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 128,
c_write_depth_b => 512,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 128,
c_write_width_b => 32,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_blk_mem_gen_v6_3
PORT MAP (
clka => clka,
ena => ena,
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => clkb,
enb => enb,
web => web,
addrb => addrb,
dinb => dinb,
doutb => doutb
);
-- synthesis translate_on
END blk_mem_gen_v6_3_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2011 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- The Xilinx LogiCORE IP Block Memory Generator replaces the Dual Port Block Memory and Single Port Block Memory LogiCOREs, but is not a direct drop-in replacement. It should be used in all new Xilinx designs. The core supports RAM and ROM functions over a wide range of widths and depths. Use this core to generate block memories with symmetric or asymmetric read and write port widths, as well as cores which can perform simultaneous write operations to separate locations, and simultaneous read operations from the same location. For more information on differences in interface and feature support between this core and the Dual Port Block Memory and Single Port Block Memory LogiCOREs, please consult the data sheet.
-- Interfaces:
-- AXI4_SLAVE_S_AXI
-- AXILite_SLAVE_S_AXI
-- The following code must appear in the VHDL architecture header:
------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
COMPONENT blk_mem_gen_v6_3
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT;
-- COMP_TAG_END ------ End COMPONENT Declaration ------------
-- The following code must appear in the VHDL architecture
-- body. Substitute your own instance name and net names.
------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
your_instance_name : blk_mem_gen_v6_3
PORT MAP (
clka => clka,
ena => ena,
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => clkb,
enb => enb,
web => web,
addrb => addrb,
dinb => dinb,
doutb => doutb
);
-- INST_TAG_END ------ End INSTANTIATION Template ------------
-- You must compile the wrapper file blk_mem_gen_v6_3.vhd when simulating
-- the core, blk_mem_gen_v6_3. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
##############################################################
#
# Xilinx Core Generator version 13.1
# Date: Fri Oct 7 15:49:14 2011
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = false
SET busformat = BusFormatParenNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Synplicity
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -2
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Block_Memory_Generator xilinx.com:ip:blk_mem_gen:6.1
# END Select
# BEGIN Parameters
CSET additional_inputs_for_power_estimation=false
CSET algorithm=Minimum_Area
CSET assume_synchronous_clk=false
CSET axi_id_width=4
CSET axi_slave_type=Memory_Slave
CSET axi_type=AXI4_Full
CSET byte_size=9
CSET coe_file=no_coe_file_loaded
CSET collision_warnings=ALL
CSET component_name=blk_mem_gen_v6_3
CSET disable_collision_warnings=false
CSET disable_out_of_range_warnings=false
CSET ecc=false
CSET ecctype=No_ECC
CSET enable_a=Use_ENA_Pin
CSET enable_b=Use_ENB_Pin
CSET error_injection_type=Single_Bit_Error_Injection
CSET fill_remaining_memory_locations=false
CSET interface_type=Native
CSET load_init_file=false
CSET memory_type=True_Dual_Port_RAM
CSET operating_mode_a=WRITE_FIRST
CSET operating_mode_b=WRITE_FIRST
CSET output_reset_value_a=0
CSET output_reset_value_b=0
CSET pipeline_stages=0
CSET port_a_clock=100
CSET port_a_enable_rate=100
CSET port_a_write_rate=50
CSET port_b_clock=100
CSET port_b_enable_rate=100
CSET port_b_write_rate=50
CSET primitive=8kx2
CSET read_width_a=128
CSET read_width_b=32
CSET register_porta_input_of_softecc=false
CSET register_porta_output_of_memory_core=false
CSET register_porta_output_of_memory_primitives=false
CSET register_portb_output_of_memory_core=false
CSET register_portb_output_of_memory_primitives=true
CSET register_portb_output_of_softecc=false
CSET remaining_memory_locations=0
CSET reset_memory_latch_a=false
CSET reset_memory_latch_b=false
CSET reset_priority_a=CE
CSET reset_priority_b=CE
CSET reset_type=SYNC
CSET softecc=false
CSET use_axi_id=false
CSET use_byte_write_enable=false
CSET use_error_injection_pins=false
CSET use_regcea_pin=false
CSET use_regceb_pin=false
CSET use_rsta_pin=false
CSET use_rstb_pin=false
CSET write_depth_a=128
CSET write_width_a=128
CSET write_width_b=32
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2011-02-03T22:20:43.000Z
# END Extra information
GENERATE
# CRC: d3d95de2
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
<header>
<!-- ISE source project file created by Project Navigator. -->
<!-- -->
<!-- This file contains project source information including a list of -->
<!-- project source files, project and process properties. This file, -->
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
</header>
<version xil_pn:ise_version="13.1" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="blk_mem_gen_v6_3.ngc" xil_pn:type="FILE_NGC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
</file>
<file xil_pn:name="blk_mem_gen_v6_3.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
</file>
</files>
<properties>
<property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
<property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Clock Enable" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Input Limit (4-40)" xil_pn:value="32" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Pterm Limit (3-56)" xil_pn:value="28" xil_pn:valueState="default"/>
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Compile CPLD Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile uni9000 (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Default Powerup Value of Registers" xil_pn:value="Low" xil_pn:valueState="default"/>
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
<property xil_pn:name="Device" xil_pn:value="xc6slx45t" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Exhaustive Fit Mode" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Function Block Input Limit (4-40)" xil_pn:value="38" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Fit Power Data" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Fit Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="HDL Equations Style" xil_pn:value="Source" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
<property xil_pn:name="I/O Voltage Standard" xil_pn:value="LVCMOS18" xil_pn:valueState="default"/>
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Stop View" xil_pn:value="Structural" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Template" xil_pn:value="Optimize Density" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|blk_mem_gen_v6_3|blk_mem_gen_v6_3_a" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="blk_mem_gen_v6_3.vhd" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/blk_mem_gen_v6_3" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Input and tristate I/O Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/>
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy CPLD" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Logic Optimization" xil_pn:value="Density" xil_pn:valueState="default"/>
<property xil_pn:name="Macro Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
<property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other CPLD Fitter Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Fit" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Place &amp; Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Programming Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Fit" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Timing Report Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="blk_mem_gen_v6_3" xil_pn:valueState="default"/>
<property xil_pn:name="Output Slew Rate" xil_pn:value="Fast" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
<property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="blk_mem_gen_v6_3_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="blk_mem_gen_v6_3_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="blk_mem_gen_v6_3_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="blk_mem_gen_v6_3_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Preserve Unused Inputs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retiming Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Fit" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Report Format" xil_pn:value="Summary" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
<property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Unused I/O Pad Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/>
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Fit" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Fit" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Data Gate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Direct Input for Input Registers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Clocks" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Output Enables" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Set/Reset" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Location Constraints" xil_pn:value="Always" xil_pn:valueState="default"/>
<property xil_pn:name="Use Multi-level Logic Optimization" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Timing Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="WYSIWYG" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
<property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
<property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="default"/>
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="XOR Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="blk_mem_gen_v6_3" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostFitSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2011-10-07T17:51:06" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="BA4DA6C5B8B3D7C97D43437C5B9115B5" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
</properties>
<bindings/>
<libraries/>
<autoManagedFiles>
<!-- The following files are identified by `include statements in verilog -->
<!-- source files and are automatically managed by Project Navigator. -->
<!-- -->
<!-- Do not hand-edit this section, as it will be overwritten when the -->
<!-- project is analyzed based on files automatically identified as -->
<!-- include files. -->
</autoManagedFiles>
</project>
# Output products list for <blk_mem_gen_v6_3>
blk_mem_gen_ds512.pdf
blk_mem_gen_readme.txt
blk_mem_gen_v6_3.gise
blk_mem_gen_v6_3.ngc
blk_mem_gen_v6_3.vhd
blk_mem_gen_v6_3.vho
blk_mem_gen_v6_3.xco
blk_mem_gen_v6_3.xise
blk_mem_gen_v6_3_flist.txt
blk_mem_gen_v6_3_xmdf.tcl
# The package naming convention is <core_name>_xmdf
package provide blk_mem_gen_v6_3_xmdf 1.0
# This includes some utilities that support common XMDF operations
package require utilities_xmdf
# Define a namespace for this package. The name of the name space
# is <core_name>_xmdf
namespace eval ::blk_mem_gen_v6_3_xmdf {
# Use this to define any statics
}
# Function called by client to rebuild the params and port arrays
# Optional when the use context does not require the param or ports
# arrays to be available.
proc ::blk_mem_gen_v6_3_xmdf::xmdfInit { instance } {
# Variable containg name of library into which module is compiled
# Recommendation: <module_name>
# Required
utilities_xmdf::xmdfSetData $instance Module Attributes Name blk_mem_gen_v6_3
}
# ::blk_mem_gen_v6_3_xmdf::xmdfInit
# Function called by client to fill in all the xmdf* data variables
# based on the current settings of the parameters
proc ::blk_mem_gen_v6_3_xmdf::xmdfApplyParams { instance } {
set fcount 0
# Array containing libraries that are assumed to exist
# Examples include unisim and xilinxcorelib
# Optional
# In this example, we assume that the unisim library will
# be magically
# available to the simulation and synthesis tool
utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_ds512.pdf
utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_readme.txt
utilities_xmdf::xmdfSetData $instance FileSet $fcount type text
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v6_3.ngc
utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v6_3.vhd
utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v6_3.vho
utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v6_3.xco
utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v6_3_xmdf.tcl
utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module blk_mem_gen_v6_3
incr fcount
}
# ::gen_comp_name_xmdf::xmdfApplyParams
......@@ -70,38 +70,38 @@
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com">
<xilinx:projectOptions>
<xilinx:projectName>circular_buffer_coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc6slx45t</xilinx:device>
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
<xilinx:package>fgg484</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatParenNotRipped</xilinx:busFormat>
<xilinx:designEntry>VHDL</xilinx:designEntry>
<xilinx:asySymbol>false</xilinx:asySymbol>
<xilinx:flowVendor>Synplicity</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>VHDL</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2011-02-03T22:20:43.000Z</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
<xilinx:projectOptions>
<xilinx:projectName>circular_buffer_coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc6slx45t</xilinx:device>
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
<xilinx:package>fgg484</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatParenNotRipped</xilinx:busFormat>
<xilinx:designEntry>VHDL</xilinx:designEntry>
<xilinx:asySymbol>false</xilinx:asySymbol>
<xilinx:flowVendor>Synplicity</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>VHDL</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2011-02-03T22:20:43.000Z</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
......@@ -166,9 +166,405 @@
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COLLISION_WARNINGS">ALL</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_COLLISION_WARNINGS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_OUT_OF_RANGE_WARNINGS">false</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com">
<xilinx:projectOptions>
<xilinx:projectName>circular_buffer_coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc6slx45t</xilinx:device>
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
<xilinx:package>fgg484</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatParenNotRipped</xilinx:busFormat>
<xilinx:designEntry>VHDL</xilinx:designEntry>
<xilinx:asySymbol>false</xilinx:asySymbol>
<xilinx:flowVendor>Synplicity</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>VHDL</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2011-02-03T22:20:43.000Z</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>blk_mem_gen_v6_3</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="blk_mem_gen" spirit:version="6.1" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">blk_mem_gen_v6_3</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_TYPE">Native</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_TYPE">AXI4_Full</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_SLAVE_TYPE">Memory_Slave</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_AXI_ID">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_ID_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MEMORY_TYPE">True_Dual_Port_RAM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECCTYPE">No_ECC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_ERROR_INJECTION_PINS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ERROR_INJECTION_TYPE">Single_Bit_Error_Injection</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BYTE_WRITE_ENABLE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BYTE_SIZE">9</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALGORITHM">Minimum_Area</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">8kx2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASSUME_SYNCHRONOUS_CLK">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_WIDTH_A">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DEPTH_A">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WIDTH_A">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPERATING_MODE_A">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_A">Use_ENA_Pin</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_WIDTH_B">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WIDTH_B">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPERATING_MODE_B">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_B">Use_ENB_Pin</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_OUTPUT_OF_MEMORY_PRIMITIVES">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_OUTPUT_OF_MEMORY_CORE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_REGCEA_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_MEMORY_PRIMITIVES">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_MEMORY_CORE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_REGCEB_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_INPUT_OF_SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIPELINE_STAGES">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOAD_INIT_FILE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COE_FILE">no_coe_file_loaded</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FILL_REMAINING_MEMORY_LOCATIONS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REMAINING_MEMORY_LOCATIONS">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RSTA_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_MEMORY_LATCH_A">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PRIORITY_A">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_RESET_VALUE_A">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RSTB_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_MEMORY_LATCH_B">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PRIORITY_B">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_RESET_VALUE_B">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">SYNC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDITIONAL_INPUTS_FOR_POWER_ESTIMATION">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_CLOCK">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_WRITE_RATE">50</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_CLOCK">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_WRITE_RATE">50</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_ENABLE_RATE">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_ENABLE_RATE">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COLLISION_WARNINGS">ALL</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_COLLISION_WARNINGS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_OUT_OF_RANGE_WARNINGS">false</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com">
<xilinx:projectOptions>
<xilinx:projectName>circular_buffer_coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc6slx45t</xilinx:device>
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
<xilinx:package>fgg484</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatParenNotRipped</xilinx:busFormat>
<xilinx:designEntry>VHDL</xilinx:designEntry>
<xilinx:asySymbol>false</xilinx:asySymbol>
<xilinx:flowVendor>Synplicity</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>VHDL</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2011-02-03T22:20:43.000Z</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>reg_mem_gen_v6_1</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="blk_mem_gen" spirit:version="6.1" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">reg_mem_gen_v6_1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_TYPE">Native</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_TYPE">AXI4_Full</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_SLAVE_TYPE">Memory_Slave</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_AXI_ID">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_ID_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MEMORY_TYPE">True_Dual_Port_RAM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECCTYPE">No_ECC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_ERROR_INJECTION_PINS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ERROR_INJECTION_TYPE">Single_Bit_Error_Injection</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BYTE_WRITE_ENABLE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BYTE_SIZE">9</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALGORITHM">Minimum_Area</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">8kx2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASSUME_SYNCHRONOUS_CLK">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_WIDTH_A">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DEPTH_A">256</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WIDTH_A">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPERATING_MODE_A">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_A">Use_ENA_Pin</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_WIDTH_B">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WIDTH_B">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPERATING_MODE_B">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_B">Use_ENB_Pin</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_OUTPUT_OF_MEMORY_PRIMITIVES">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_OUTPUT_OF_MEMORY_CORE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_REGCEA_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_MEMORY_PRIMITIVES">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_MEMORY_CORE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_REGCEB_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_INPUT_OF_SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIPELINE_STAGES">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOAD_INIT_FILE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COE_FILE">no_coe_file_loaded</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FILL_REMAINING_MEMORY_LOCATIONS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REMAINING_MEMORY_LOCATIONS">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RSTA_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_MEMORY_LATCH_A">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PRIORITY_A">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_RESET_VALUE_A">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RSTB_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_MEMORY_LATCH_B">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PRIORITY_B">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_RESET_VALUE_B">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">SYNC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDITIONAL_INPUTS_FOR_POWER_ESTIMATION">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_CLOCK">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_WRITE_RATE">50</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_CLOCK">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_WRITE_RATE">50</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_ENABLE_RATE">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_ENABLE_RATE">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COLLISION_WARNINGS">ALL</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_COLLISION_WARNINGS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_OUT_OF_RANGE_WARNINGS">false</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com">
<xilinx:projectOptions>
<xilinx:projectName>circular_buffer_coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc6slx45t</xilinx:device>
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
<xilinx:package>fgg484</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatParenNotRipped</xilinx:busFormat>
<xilinx:designEntry>VHDL</xilinx:designEntry>
<xilinx:asySymbol>false</xilinx:asySymbol>
<xilinx:flowVendor>Synplicity</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>VHDL</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2011-02-03T22:20:43.000Z</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>reg_mem_gen_v6_2</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="blk_mem_gen" spirit:version="6.1" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">reg_mem_gen_v6_2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_TYPE">Native</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_TYPE">AXI4_Full</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_SLAVE_TYPE">Memory_Slave</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_AXI_ID">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_ID_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MEMORY_TYPE">True_Dual_Port_RAM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECCTYPE">No_ECC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_ERROR_INJECTION_PINS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ERROR_INJECTION_TYPE">Single_Bit_Error_Injection</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BYTE_WRITE_ENABLE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BYTE_SIZE">9</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALGORITHM">Minimum_Area</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">8kx2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASSUME_SYNCHRONOUS_CLK">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_WIDTH_A">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DEPTH_A">64</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WIDTH_A">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPERATING_MODE_A">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_A">Use_ENA_Pin</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_WIDTH_B">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WIDTH_B">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPERATING_MODE_B">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_B">Use_ENB_Pin</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_OUTPUT_OF_MEMORY_PRIMITIVES">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_OUTPUT_OF_MEMORY_CORE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_REGCEA_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_MEMORY_PRIMITIVES">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_MEMORY_CORE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_REGCEB_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_INPUT_OF_SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIPELINE_STAGES">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOAD_INIT_FILE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COE_FILE">no_coe_file_loaded</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FILL_REMAINING_MEMORY_LOCATIONS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REMAINING_MEMORY_LOCATIONS">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RSTA_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_MEMORY_LATCH_A">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PRIORITY_A">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_RESET_VALUE_A">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RSTB_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_MEMORY_LATCH_B">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PRIORITY_B">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_RESET_VALUE_B">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">SYNC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDITIONAL_INPUTS_FOR_POWER_ESTIMATION">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_CLOCK">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_WRITE_RATE">50</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_CLOCK">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_WRITE_RATE">50</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_ENABLE_RATE">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_ENABLE_RATE">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COLLISION_WARNINGS">ALL</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_COLLISION_WARNINGS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_OUT_OF_RANGE_WARNINGS">false</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com">
<xilinx:projectOptions>
<xilinx:projectName>circular_buffer_coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc6slx45t</xilinx:device>
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
<xilinx:package>fgg484</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatParenNotRipped</xilinx:busFormat>
<xilinx:designEntry>VHDL</xilinx:designEntry>
<xilinx:asySymbol>false</xilinx:asySymbol>
<xilinx:flowVendor>Synplicity</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>VHDL</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2011-02-03T22:20:43.000Z</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>blk_mem_circ_buff_v6_4</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="blk_mem_gen" spirit:version="6.2" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">blk_mem_circ_buff_v6_4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_TYPE">Native</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_TYPE">AXI4_Full</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_SLAVE_TYPE">Memory_Slave</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_AXI_ID">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_ID_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MEMORY_TYPE">True_Dual_Port_RAM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECCTYPE">No_ECC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_ERROR_INJECTION_PINS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ERROR_INJECTION_TYPE">Single_Bit_Error_Injection</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BYTE_WRITE_ENABLE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BYTE_SIZE">9</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALGORITHM">Minimum_Area</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">8kx2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASSUME_SYNCHRONOUS_CLK">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_WIDTH_A">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DEPTH_A">256</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WIDTH_A">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPERATING_MODE_A">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_A">Use_ENA_Pin</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_WIDTH_B">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WIDTH_B">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPERATING_MODE_B">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_B">Use_ENB_Pin</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_OUTPUT_OF_MEMORY_PRIMITIVES">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_OUTPUT_OF_MEMORY_CORE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_REGCEA_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_MEMORY_PRIMITIVES">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_MEMORY_CORE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_REGCEB_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_INPUT_OF_SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIPELINE_STAGES">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOAD_INIT_FILE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COE_FILE">no_coe_file_loaded</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FILL_REMAINING_MEMORY_LOCATIONS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REMAINING_MEMORY_LOCATIONS">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RSTA_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_MEMORY_LATCH_A">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PRIORITY_A">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_RESET_VALUE_A">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RSTB_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_MEMORY_LATCH_B">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PRIORITY_B">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_RESET_VALUE_B">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">SYNC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDITIONAL_INPUTS_FOR_POWER_ESTIMATION">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_CLOCK">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_WRITE_RATE">50</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_CLOCK">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_WRITE_RATE">50</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_ENABLE_RATE">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_ENABLE_RATE">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COLLISION_WARNINGS">ALL</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_COLLISION_WARNINGS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_OUT_OF_RANGE_WARNINGS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">spartan6</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XDEVICEFAMILY">spartan6</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ELABORATION_DIR">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg//</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ELABORATION_DIR">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INTERFACE_TYPE">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_TYPE">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_SLAVE_TYPE">0</spirit:configurableElementValue>
......@@ -187,30 +583,30 @@
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RST_PRIORITY_A">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RSTRAM_A">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INITA_VAL">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ENA">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ENA">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_REGCEA">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BYTE_WEA">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WEA_WIDTH">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_MODE_A">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_WIDTH_A">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_WIDTH_A">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_A">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_DEPTH_A">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADDRA_WIDTH">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_A">256</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_DEPTH_A">256</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADDRA_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RSTB">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RST_PRIORITY_B">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RSTRAM_B">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INITB_VAL">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ENB">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ENB">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_REGCEB">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BYTE_WEB">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WEB_WIDTH">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_MODE_B">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_WIDTH_B">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_WIDTH_B">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_B">512</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_DEPTH_B">512</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADDRB_WIDTH">9</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_B">1024</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_DEPTH_B">1024</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADDRB_WIDTH">10</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEM_OUTPUT_REGS_A">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEM_OUTPUT_REGS_B">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MUX_OUTPUT_REGS_A">0</spirit:configurableElementValue>
......@@ -228,53 +624,70 @@
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<xilinx:instanceProperties>
<xilinx:projectOptions>
<xilinx:projectName>circular_buffer_coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc6slx45t</xilinx:device>
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
<xilinx:package>fgg484</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatParenNotRipped</xilinx:busFormat>
<xilinx:designEntry>VHDL</xilinx:designEntry>
<xilinx:asySymbol>false</xilinx:asySymbol>
<xilinx:flowVendor>Synplicity</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>VHDL</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2011-02-03T22:20:43.000Z</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
<xilinx:projectOptions>
<xilinx:projectName>circular_buffer_coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc6slx45t</xilinx:device>
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
<xilinx:package>fgg484</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatParenNotRipped</xilinx:busFormat>
<xilinx:designEntry>VHDL</xilinx:designEntry>
<xilinx:asySymbol>false</xilinx:asySymbol>
<xilinx:flowVendor>Synplicity</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>VHDL</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2011-03-11T08:24:14.000Z</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
<xilinx:generationHistory>
<xilinx:fileSet>
<xilinx:name>apply_current_project_options_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>customization_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./summary.log</xilinx:name>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:25 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x6BD96A18</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>model_parameter_resolution_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./summary.log</xilinx:name>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:29 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x6BD96A18</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ip_xco_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./blk_mem_gen_v6_2.xco</xilinx:name>
<xilinx:name>./blk_mem_circ_buff_v6_4.xco</xilinx:name>
<xilinx:userFileType>xco</xilinx:userFileType>
<xilinx:timeStamp>Thu Oct 06 09:27:01 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x4787E06A</xilinx:checkSum>
<xilinx:generationId>generationid_3716216570</xilinx:generationId>
<xilinx:timeStamp>Thu Nov 03 16:19:30 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0xEC41DAA7</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
......@@ -282,29 +695,125 @@
<xilinx:file>
<xilinx:name>./blk_mem_gen_ds512.pdf</xilinx:name>
<xilinx:userFileType>pdf</xilinx:userFileType>
<xilinx:timeStamp>Thu Feb 03 22:20:46 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x2E8F1D50</xilinx:checkSum>
<xilinx:generationId>generationid_3716216570</xilinx:generationId>
<xilinx:timeStamp>Wed Oct 05 00:21:26 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x976BF374</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_gen_readme.txt</xilinx:name>
<xilinx:name>./blk_mem_gen_v6_2_readme.txt</xilinx:name>
<xilinx:userFileType>txt</xilinx:userFileType>
<xilinx:timeStamp>Thu Feb 03 22:20:46 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x654B47CC</xilinx:checkSum>
<xilinx:generationId>generationid_3716216570</xilinx:generationId>
<xilinx:timeStamp>Wed Oct 05 00:21:26 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x399E1D72</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ejava_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./blk_mem_circ_buff_v6_4_ste/example_design/blk_mem_circ_buff_v6_4_top.ucf</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>ucf</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x8915DFA1</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_circ_buff_v6_4_ste/example_design/blk_mem_circ_buff_v6_4_top.vhd</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>vhdl</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0xA1C5BCEF</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_circ_buff_v6_4_ste/example_design/blk_mem_circ_buff_v6_4_top.xdc</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xdc</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:33 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x78E2D49A</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_circ_buff_v6_4_ste/example_design/bmg_wrapper.vhd</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>vhdl</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0xF29BD63A</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_circ_buff_v6_4_ste/implement/implement.bat</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0xBD3441CB</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_circ_buff_v6_4_ste/implement/implement.sh</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0xF35ABCA1</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_circ_buff_v6_4_ste/implement/planAhead_rdn.bat</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x5A4868F0</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_circ_buff_v6_4_ste/implement/planAhead_rdn.sh</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x7829E621</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_circ_buff_v6_4_ste/implement/planAhead_rdn.tcl</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x5A94B1E8</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_circ_buff_v6_4_ste/implement/synplify.prj</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x77A3F9DF</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_circ_buff_v6_4_ste/implement/xst.prj</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0xE42C2544</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_circ_buff_v6_4_ste/implement/xst.scr</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Thu Nov 03 16:19:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x2C21367D</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ngc_netlist_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./blk_mem_gen_v6_2.ngc</xilinx:name>
<xilinx:name>./blk_mem_circ_buff_v6_4.ngc</xilinx:name>
<xilinx:userFileType>ngc</xilinx:userFileType>
<xilinx:timeStamp>Thu Oct 06 09:32:21 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x0D331DD7</xilinx:checkSum>
<xilinx:generationId>generationid_3716216570</xilinx:generationId>
<xilinx:timeStamp>Thu Nov 03 16:20:33 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x1737D9D6</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
......@@ -316,21 +825,21 @@
<xilinx:fileSet>
<xilinx:name>instantiation_template_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./blk_mem_gen_v6_2.vho</xilinx:name>
<xilinx:name>./blk_mem_circ_buff_v6_4.vho</xilinx:name>
<xilinx:userFileType>vho</xilinx:userFileType>
<xilinx:timeStamp>Thu Oct 06 09:32:22 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0xDAB108BD</xilinx:checkSum>
<xilinx:generationId>generationid_3716216570</xilinx:generationId>
<xilinx:timeStamp>Thu Nov 03 16:20:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x122F0574</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>structural_simulation_model_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./blk_mem_gen_v6_2.vhd</xilinx:name>
<xilinx:name>./blk_mem_circ_buff_v6_4.vhd</xilinx:name>
<xilinx:userFileType>vhdl</xilinx:userFileType>
<xilinx:timeStamp>Thu Oct 06 09:32:22 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0xCEF45667</xilinx:checkSum>
<xilinx:generationId>generationid_3716216570</xilinx:generationId>
<xilinx:timeStamp>Thu Nov 03 16:20:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0xCA2F6FC5</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
......@@ -339,31 +848,31 @@
<xilinx:fileSet>
<xilinx:name>xmdf_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./blk_mem_gen_v6_2_xmdf.tcl</xilinx:name>
<xilinx:name>./blk_mem_circ_buff_v6_4_xmdf.tcl</xilinx:name>
<xilinx:userFileType>tclXmdf</xilinx:userFileType>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Thu Oct 06 09:32:22 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x7790969D</xilinx:checkSum>
<xilinx:generationId>generationid_3716216570</xilinx:generationId>
<xilinx:timeStamp>Thu Nov 03 16:20:34 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x29C0A1B5</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ise_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./blk_mem_gen_v6_2.gise</xilinx:name>
<xilinx:name>./blk_mem_circ_buff_v6_4.gise</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>gise</xilinx:userFileType>
<xilinx:timeStamp>Thu Oct 06 09:34:18 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0xE8F6273F</xilinx:checkSum>
<xilinx:generationId>generationid_3716216570</xilinx:generationId>
<xilinx:timeStamp>Thu Nov 03 16:20:50 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x3F9DCA77</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_gen_v6_2.xise</xilinx:name>
<xilinx:name>./blk_mem_circ_buff_v6_4.xise</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xise</xilinx:userFileType>
<xilinx:timeStamp>Thu Oct 06 09:34:18 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x9D666B24</xilinx:checkSum>
<xilinx:generationId>generationid_3716216570</xilinx:generationId>
<xilinx:timeStamp>Thu Nov 03 16:20:50 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x93B04508</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
......@@ -372,13 +881,13 @@
<xilinx:fileSet>
<xilinx:name>flist_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./blk_mem_gen_v6_2_flist.txt</xilinx:name>
<xilinx:name>./blk_mem_circ_buff_v6_4_flist.txt</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>txtFlist</xilinx:userFileType>
<xilinx:userFileType>txt</xilinx:userFileType>
<xilinx:timeStamp>Thu Oct 06 09:34:20 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x33999267</xilinx:checkSum>
<xilinx:generationId>generationid_3716216570</xilinx:generationId>
<xilinx:timeStamp>Thu Nov 03 16:20:50 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x4658C6E8</xilinx:checkSum>
<xilinx:generationId>generationid_624131249</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
......@@ -390,34 +899,35 @@
</spirit:componentInstances>
<spirit:vendorExtensions>
<xilinx:instanceProperties>
<xilinx:projectOptions>
<xilinx:projectName>circular_buffer_coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc6slx45t</xilinx:device>
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
<xilinx:package>fgg484</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatParenNotRipped</xilinx:busFormat>
<xilinx:designEntry>VHDL</xilinx:designEntry>
<xilinx:asySymbol>false</xilinx:asySymbol>
<xilinx:flowVendor>Synplicity</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>VHDL</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
</xilinx:instanceProperties>
<xilinx:projectOptions>
<xilinx:projectName>circular_buffer_coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc6slx45t</xilinx:device>
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
<xilinx:package>fgg484</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatParenNotRipped</xilinx:busFormat>
<xilinx:designEntry>VHDL</xilinx:designEntry>
<xilinx:asySymbol>false</xilinx:asySymbol>
<xilinx:flowVendor>Synplicity</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>VHDL</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
</xilinx:instanceProperties>
</spirit:vendorExtensions>
</spirit:design>
The IP Catalog has been reloaded.
Welcome to Xilinx CORE Generator.
Help system initialized.
The IP Catalog has been reloaded.
CoreGen has not been configured with any user repositories.
CoreGen has been configured with the following Xilinx repositories:
- '/afs/cern.ch/project/parc/elec/xilinx131/ISE_DS/ISE/coregen/'
[xil_index.xml]
- '/afs/cern.ch/project/parc/elec/xilinx/xilinx133/ISE_DS/ISE/coregen/' [using
existing xil_index.xml]
The IP Catalog has been reloaded.
Opening project file
/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/circu
lar_buffer_coregen.cgp.
Customize and GenerateINFO:sim:927 - Generating component instance 'blk_mem_gen_v6_1' of
'xilinx.com:ip:blk_mem_gen:6.1' from
'/afs/cern.ch/project/parc/elec/xilinx131/ISE_DS/ISE/coregen/ip/xilinx/primar
y/com/xilinx/ip/blk_mem_gen_v6_1/component.xml'.
Executing Tcl generator...
Finished executing Tcl generator.
Executing Tcl generator...
INFO:sim - Resolving generics for 'blk_mem_gen_v6_2'...
INFO:sim - Applying external generics to 'blk_mem_gen_v6_2'...
Finished executing Tcl generator.
Executing Tcl generator...
INFO:sim - Delivering associated files for 'blk_mem_gen_v6_2'...
Finished executing Tcl generator.
Executing Tcl generator...
INFO:sim - Delivering EJava files for 'blk_mem_gen_v6_2'...
Finished executing Tcl generator.
Executing Tcl generator...
INFO:sim - Generating implementation netlist for 'blk_mem_gen_v6_2'...
INFO:sim - Pre-processing HDL files for 'blk_mem_gen_v6_2'...
Finished executing Tcl generator.
Executing Tcl generator...
Finished executing Tcl generator.
Executing Tcl generator...
Finished executing Tcl generator.
Executing Tcl generator...
INFO:sim - Writing VHO instantiation template for 'blk_mem_gen_v6_2'...
Finished executing Tcl generator.
Executing Tcl generator...
INFO:sim - Writing VHDL behavioral simulation model for 'blk_mem_gen_v6_2'...
Finished executing Tcl generator.
WARNING:sim - The project IP instance 'blk_mem_gen_v6_1' for IP 'Block Memory
Generator v6.1' was generated with a different version of the IP than is
currently in the IP Catalog. It was originally generated using IP with the
packaged timestamp '2011-02-03T22:20:43.000Z'; the IP in the current catalog
has a different packaged timestamp '2011-10-05T00:23:53.000Z'. This mismatch
is due to changes made to the IP in the user repositories. It may affect some
functionality of the IP, if there are differences between these two versions
of the IP.
WARNING:sim - The project IP instance 'blk_mem_gen_v6_2' for IP 'Block Memory
Generator v6.1' was generated with a different version of the IP than is
currently in the IP Catalog. It was originally generated using IP with the
packaged timestamp '2011-02-03T22:20:43.000Z'; the IP in the current catalog
has a different packaged timestamp '2011-10-05T00:23:53.000Z'. This mismatch
is due to changes made to the IP in the user repositories. It may affect some
functionality of the IP, if there are differences between these two versions
of the IP.
WARNING:sim - The project IP instance 'blk_mem_gen_v6_3' for IP 'Block Memory
Generator v6.1' was generated with a different version of the IP than is
currently in the IP Catalog. It was originally generated using IP with the
packaged timestamp '2011-02-03T22:20:43.000Z'; the IP in the current catalog
has a different packaged timestamp '2011-10-05T00:23:53.000Z'. This mismatch
is due to changes made to the IP in the user repositories. It may affect some
functionality of the IP, if there are differences between these two versions
of the IP.
WARNING:sim - The project IP instance 'reg_mem_gen_v6_1' for IP 'Block Memory
Generator v6.1' was generated with a different version of the IP than is
currently in the IP Catalog. It was originally generated using IP with the
packaged timestamp '2011-02-03T22:20:43.000Z'; the IP in the current catalog
has a different packaged timestamp '2011-10-05T00:23:53.000Z'. This mismatch
is due to changes made to the IP in the user repositories. It may affect some
functionality of the IP, if there are differences between these two versions
of the IP.
WARNING:sim - The project IP instance 'reg_mem_gen_v6_2' for IP 'Block Memory
Generator v6.1' was generated with a different version of the IP than is
currently in the IP Catalog. It was originally generated using IP with the
packaged timestamp '2011-02-03T22:20:43.000Z'; the IP in the current catalog
has a different packaged timestamp '2011-10-05T00:23:53.000Z'. This mismatch
is due to changes made to the IP in the user repositories. It may affect some
functionality of the IP, if there are differences between these two versions
of the IP.
Customize and GenerateINFO:sim - Generating component instance 'blk_mem_gen_v6_2' of
'xilinx.com:ip:blk_mem_gen:6.2' from
'/afs/cern.ch/project/parc/elec/xilinx/xilinx133/ISE_DS/ISE/coregen/./ip/xili
nx/primary/com/xilinx/ip/blk_mem_gen_v6_2/component.xml'.
Applying current project options...
Finished applying current project options.
Resolving generics for 'blk_mem_circ_buff_v6_4'...
Applying external generics to 'blk_mem_circ_buff_v6_4'...
Delivering associated files for 'blk_mem_circ_buff_v6_4'...
Delivering EJava files for 'blk_mem_circ_buff_v6_4'...
Generating implementation netlist for 'blk_mem_circ_buff_v6_4'...
INFO:sim - Pre-processing HDL files for 'blk_mem_circ_buff_v6_4'...
Running synthesis for 'blk_mem_circ_buff_v6_4'
Running ngcbuild...
Writing VHO instantiation template for 'blk_mem_circ_buff_v6_4'...
Writing VHDL behavioral simulation model for 'blk_mem_circ_buff_v6_4'...
INFO:sim - Finished generation of ASY schematic symbol.
Generating metadata file...
Finished generating metadata file.
Generating ISE project...
Finished generating ISE project.Generating README file...
Finished generating README file.
Generating README file...
Generating FLIST file...
Finished FLIST file generation.
Preparing output directory...
Finished preparing output directory.
INFO:sim - Finished FLIST file generation.
Launching README viewer...
Launched README viewer.
Moving files to output directory...
Finished moving files to output directory
Saved options for project 'circular_buffer_coregen'.
Saved CGP file for project 'circular_buffer_coregen'.
Closed project file.
User Configuration
-------------------------------------
Algorithm : Minimum_Area
Memory Type : True_Dual_Port_RAM
Port A Read Width : 128
Port B Read Width : 32
Port A Write Width : 128
Port B Write Width : 32
Memory Depth : 256
--------------------------------------------------------------
Block RAM resource(s) (9K BRAMs) : 0
Block RAM resource(s) (18K BRAMs) : 4
--------------------------------------------------------------
Clock A Frequency : 100
Port A Enable Rate : 100
Port A Write Rate : 50
----------------------------------------------------------
Estimated Power for IP : 11.020496 mW
----------------------------------------------------------
......@@ -8,7 +8,7 @@
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
<messages>
<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file &quot;/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/blk_mem_gen_v6_2.vhd&quot; into library work</arg>
<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file &quot;/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/blk_mem_circ_buff_v6_4.vhd&quot; into library work</arg>
</msg>
</messages>
......
......@@ -32,82 +32,82 @@
<msg type="info" file="ip" num="0" delta="new" ><arg fmt="%d" index="1">3</arg>: (<arg fmt="%d" index="2">27</arg>,<arg fmt="%d" index="3">0</arg>) : <arg fmt="%d" index="4">9</arg>x<arg fmt="%d" index="5">2048</arg> u:<arg fmt="%d" index="6">5</arg>
</msg>
<msg type="warning" file="HDLCompiler" num="746" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_input_block.vhd" Line 496: Range is empty (null range)
<msg type="warning" file="HDLCompiler" num="746" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_input_block.vhd" Line 529: Range is empty (null range)
</msg>
<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_input_block.vhd" Line 691: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_input_block.vhd" Line 691: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
</msg>
<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_input_block.vhd" Line 707: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_input_block.vhd" Line 707: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
</msg>
<msg type="warning" file="HDLCompiler" num="746" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_prim_wrapper_s6.vhd" Line 2001: Range is empty (null range)
<msg type="warning" file="HDLCompiler" num="746" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_prim_wrapper_s6.vhd" Line 2001: Range is empty (null range)
</msg>
<msg type="warning" file="HDLCompiler" num="220" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_prim_wrapper_s6.vhd" Line 2001: Assignment ignored
<msg type="warning" file="HDLCompiler" num="220" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_prim_wrapper_s6.vhd" Line 2001: Assignment ignored
</msg>
<msg type="warning" file="HDLCompiler" num="634" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_prim_width.vhd" Line 428: Net &lt;<arg fmt="%s" index="1">dina_pad[35]</arg>&gt; does not have a driver.
<msg type="warning" file="HDLCompiler" num="634" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_prim_width.vhd" Line 429: Net &lt;<arg fmt="%s" index="1">dina_pad[35]</arg>&gt; does not have a driver.
</msg>
<msg type="warning" file="HDLCompiler" num="634" delta="new" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_prim_width.vhd" Line 432: Net &lt;<arg fmt="%s" index="1">dinb_pad[8]</arg>&gt; does not have a driver.
<msg type="warning" file="HDLCompiler" num="634" delta="new" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_prim_width.vhd" Line 433: Net &lt;<arg fmt="%s" index="1">dinb_pad[8]</arg>&gt; does not have a driver.
</msg>
<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_generic_cstr.vhd" Line 1542: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd" Line 1544: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
</msg>
<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_generic_cstr.vhd" Line 1555: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd" Line 1557: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">rdaddrecc</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">rdaddrecc</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_bid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_bid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_bresp</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_bresp</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rdata</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rdata</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rresp</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rresp</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rdaddrecc</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rdaddrecc</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">sbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">sbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">dbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">dbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_awready</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_awready</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_wready</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_wready</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_bvalid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_bvalid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_arready</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_arready</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rlast</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rlast</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rvalid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rvalid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_sbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_sbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2.vhd</arg>&quot; line <arg fmt="%s" index="2">146</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_dbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_circ_buff_v6_4.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_dbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_AWID&lt;3:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
......@@ -176,13 +176,13 @@
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_INJECTDBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="2935" delta="old" >Signal &apos;<arg fmt="%s" index="1">S_AXI_BID</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_v6_1_xst</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0000</arg>).
<msg type="warning" file="Xst" num="2935" delta="new" >Signal &apos;<arg fmt="%s" index="1">S_AXI_BID</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_v6_2_xst</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0000</arg>).
</msg>
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_BRESP</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="2935" delta="old" >Signal &apos;<arg fmt="%s" index="1">S_AXI_RID</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_v6_1_xst</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0000</arg>).
<msg type="warning" file="Xst" num="2935" delta="new" >Signal &apos;<arg fmt="%s" index="1">S_AXI_RID</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_v6_2_xst</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0000</arg>).
</msg>
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_RDATA</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
......@@ -221,18 +221,12 @@
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">RSTA</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">ENA</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">REGCEA</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">RSTB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">ENB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">REGCEB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
......@@ -254,7 +248,7 @@
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">WEA&lt;14:1&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">RSTB&lt;0:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">RSTB&lt;0:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">WEB&lt;3:1&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
......@@ -266,28 +260,28 @@
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">INJECTDBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="info" file="Xst" num="3210" delta="old" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1340</arg>: Output port &lt;<arg fmt="%s" index="3">SBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[0].ram.r</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">SBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[0].ram.r</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="old" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1340</arg>: Output port &lt;<arg fmt="%s" index="3">DBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[0].ram.r</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">DBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[0].ram.r</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1340</arg>: Output port &lt;<arg fmt="%s" index="3">SBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[1].ram.r</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">SBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[1].ram.r</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1340</arg>: Output port &lt;<arg fmt="%s" index="3">DBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[1].ram.r</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">DBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[1].ram.r</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1340</arg>: Output port &lt;<arg fmt="%s" index="3">SBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[2].ram.r</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">SBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[2].ram.r</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1340</arg>: Output port &lt;<arg fmt="%s" index="3">DBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[2].ram.r</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">DBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[2].ram.r</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1340</arg>: Output port &lt;<arg fmt="%s" index="3">SBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[3].ram.r</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">SBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[3].ram.r</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_1/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1340</arg>: Output port &lt;<arg fmt="%s" index="3">DBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[3].ram.r</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/afs/cern.ch/eng/eda/cds_users/gfernand/projects/tdc/src/ip_cores/mem_core/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">DBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[3].ram.r</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">RDADDRECC</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
......@@ -362,7 +356,7 @@
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">DBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">RDADDRECC_I&lt;8:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">RDADDRECC_I&lt;9:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">CLKB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment