Commit 0895a9f9 authored by Andrea Boccardi's avatar Andrea Boccardi

rerun of ISE with added timing constraints

parent 36769977
......@@ -44,7 +44,7 @@ NGDBUILD Design Results Summary:
Total memory usage is 88036 kilobytes
Writing NGD file "SFpga.ngd" ...
Total REAL time to NGDBUILD completion: 6 sec
Total REAL time to NGDBUILD completion: 5 sec
Total CPU time to NGDBUILD completion: 5 sec
Writing NGDBUILD log file "SFpga.bld"...
......@@ -58,3 +58,14 @@ map -intstyle ise -p xc6slx150t-fgg676-3 -w -logic_opt off -ol high -t 1 -xt 0 -
par -w -intstyle ise -ol high -mt off SFpga_map.ncd SFpga.ncd SFpga.pcf
trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml SFpga.twx SFpga.ncd -o SFpga.twr SFpga.pcf -ucf SFpga.ucf
bitgen -intstyle ise -f SFpga.ut SFpga.ncd
xst -intstyle ise -ifn "C:/VFC_SVN/firmware/XilinxISE/SystemFpga/SFpga.xst" -ofn "C:/VFC_SVN/firmware/XilinxISE/SystemFpga/SFpga.syr"
ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc SFpga.ucf -p xc6slx150t-fgg676-3 SFpga.ngc SFpga.ngd
map -intstyle ise -p xc6slx150t-fgg676-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -global_opt off -mt off -ir off -pr off -lc off -power off -o SFpga_map.ncd SFpga.ngd SFpga.pcf
par -w -intstyle ise -ol high -mt off SFpga_map.ncd SFpga.ncd SFpga.pcf
trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml SFpga.twx SFpga.ncd -o SFpga.twr SFpga.pcf -ucf SFpga.ucf
bitgen -intstyle ise -f SFpga.ut SFpga.ncd
ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc SFpga.ucf -p xc6slx150t-fgg676-3 SFpga.ngc SFpga.ngd
map -intstyle ise -p xc6slx150t-fgg676-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -global_opt off -mt off -ir off -pr off -lc off -power off -o SFpga_map.ncd SFpga.ngd SFpga.pcf
par -w -intstyle ise -ol high -mt off SFpga_map.ncd SFpga.ncd SFpga.pcf
trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml SFpga.twx SFpga.ncd -o SFpga.twr SFpga.pcf -ucf SFpga.ucf
bitgen -intstyle ise -f SFpga.ut SFpga.ncd
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
Release 12.3 - par M.70d (nt)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
Thu Dec 16 18:44:09 2010
Fri Dec 17 10:02:33 2010
# NOTE: This file is designed to be imported into a spreadsheet program
......@@ -296,7 +296,7 @@ E14||IOBS|IO_L40N_0|UNUSED||0|||||||||
E15|||GND||||||||||||
E16|VmeP0HwLowByteOe_o|IOB|IO_L49N_0|OUTPUT|LVCMOS33|0|12|||||LOCATED|NO|NONE|
E17|||VCCAUX||||||||2.5||||
E18|Si57xOe_o|IOB|IO_L51N_0|OUTPUT|LVCMOS33|0|12|||||LOCATED|NO|NONE|
E18||IOBS|IO_L51N_0|UNUSED||0|||||||||
E19|||GND||||||||||||
E20|VmeWrite_in|IOB|IO_L57N_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE|
E21|||VCCO_0|||0|||||3.30||||
......
Release 12.3 par M.70d (nt)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
BQPLV2:: Thu Dec 16 18:43:02 2010
BQPLV2:: Fri Dec 17 10:01:26 2010
par -w -intstyle ise -ol high -mt off SFpga_map.ncd SFpga.ncd SFpga.pcf
......@@ -26,11 +26,11 @@ Slice Logic Utilization:
Number used as Latches: 0
Number used as Latch-thrus: 0
Number used as AND/OR logics: 0
Number of Slice LUTs: 917 out of 92,152 1%
Number used as logic: 891 out of 92,152 1%
Number using O6 output only: 571
Number of Slice LUTs: 941 out of 92,152 1%
Number used as logic: 899 out of 92,152 1%
Number using O6 output only: 587
Number using O5 output only: 154
Number using O5 and O6: 166
Number using O5 and O6: 158
Number used as ROM: 0
Number used as Memory: 11 out of 21,680 1%
Number used as Dual Port RAM: 8
......@@ -42,17 +42,17 @@ Slice Logic Utilization:
Number using O6 output only: 3
Number using O5 output only: 0
Number using O5 and O6: 0
Number used exclusively as route-thrus: 15
Number with same-slice register load: 6
Number used exclusively as route-thrus: 31
Number with same-slice register load: 22
Number with same-slice carry load: 9
Number with other load: 0
Slice Logic Distribution:
Number of occupied Slices: 371 out of 23,038 1%
Number of LUT Flip Flop pairs used: 1,113
Number with an unused Flip Flop: 382 out of 1,113 34%
Number with an unused LUT: 196 out of 1,113 17%
Number of fully used LUT-FF pairs: 535 out of 1,113 48%
Number of occupied Slices: 363 out of 23,038 1%
Number of LUT Flip Flop pairs used: 1,084
Number with an unused Flip Flop: 369 out of 1,084 34%
Number with an unused LUT: 143 out of 1,084 13%
Number of fully used LUT-FF pairs: 572 out of 1,084 52%
Number of slice register sites lost
to control set restrictions: 0 out of 184,304 0%
......@@ -63,8 +63,8 @@ Slice Logic Distribution:
over-mapped for a non-slice resource or if Placement fails.
IO Utilization:
Number of bonded IOBs: 330 out of 396 83%
Number of LOCed IOBs: 328 out of 330 99%
Number of bonded IOBs: 329 out of 396 83%
Number of LOCed IOBs: 327 out of 329 99%
IOB Master Pads: 2
IOB Slave Pads: 2
......@@ -99,8 +99,8 @@ Specific Feature Utilization:
Overall effort level (-ol): High
Router effort level (-rl): High
Starting initial Timing Analysis. REAL time: 24 secs
Finished initial Timing Analysis. REAL time: 24 secs
Starting initial Timing Analysis. REAL time: 22 secs
Finished initial Timing Analysis. REAL time: 22 secs
WARNING:Par:288 - The signal VmeDs_inb2<1>_IBUF has no load. PAR will not attempt to route this signal.
WARNING:Par:288 - The signal VmeDs_inb2<2>_IBUF has no load. PAR will not attempt to route this signal.
......@@ -152,27 +152,27 @@ WARNING:Par:288 - The signal i_Core/i_InterruptManager/Mram_int_fifo1_RAMD_D1_O
Starting Router
Phase 1 : 5215 unrouted; REAL time: 27 secs
Phase 1 : 5204 unrouted; REAL time: 25 secs
Phase 2 : 4592 unrouted; REAL time: 34 secs
Phase 2 : 4597 unrouted; REAL time: 31 secs
Phase 3 : 1753 unrouted; REAL time: 46 secs
Phase 3 : 1889 unrouted; REAL time: 44 secs
Phase 4 : 1753 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 57 secs
Phase 4 : 1889 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 56 secs
Updating file: SFpga.ncd with current fully routed design.
Phase 5 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 1 mins 3 secs
Phase 5 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 1 mins 2 secs
Phase 6 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 1 mins 3 secs
Phase 6 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 1 mins 2 secs
Phase 7 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 1 mins 3 secs
Phase 7 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 1 mins 2 secs
Phase 8 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 1 mins 3 secs
Phase 8 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 1 mins 2 secs
Phase 9 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 1 mins 3 secs
Phase 9 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 1 mins 2 secs
Phase 10 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 1 mins 4 secs
Phase 10 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 1 mins 3 secs
Total REAL time to Router completion: 1 mins 4 secs
Total CPU time to Router completion: 1 mins 2 secs
......@@ -192,18 +192,18 @@ Generating Clock Report
+---------------------+--------------+------+------+------------+-------------+
| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)|
+---------------------+--------------+------+------+------------+-------------+
| Si57x_BUFG | BUFGMUX_X2Y4| No | 221 | 0.247 | 1.696 |
| Si57x_BUFG | BUFGMUX_X2Y4| No | 217 | 0.248 | 1.696 |
+---------------------+--------------+------+------+------------+-------------+
| VmeSysClk_ik_BUFGP | BUFGMUX_X2Y9| No | 6 | 0.083 | 1.644 |
+---------------------+--------------+------+------+------------+-------------+
| VcTcXo_ik_BUFGP | BUFGMUX_X3Y16| No | 6 | 0.083 | 1.644 |
+---------------------+--------------+------+------+------------+-------------+
| SysAppClk_ik_BUFGP | BUFGMUX_X3Y14| No | 18 | 0.186 | 1.688 |
| SysAppClk_ik_BUFGP | BUFGMUX_X3Y14| No | 15 | 0.009 | 1.515 |
+---------------------+--------------+------+------+------------+-------------+
| i_Core/Rst_rq | Local| | 217 | 0.000 | 4.055 |
| i_Core/Rst_rq | Local| | 213 | 0.000 | 6.628 |
+---------------------+--------------+------+------+------------+-------------+
|i_Core/i_VmeInterfac | | | | | |
| e/stb_o | Local| | 19 | 0.000 | 4.159 |
| e/stb_o | Local| | 19 | 0.000 | 4.448 |
+---------------------+--------------+------+------+------------+-------------+
* Net Skew is the difference between the minimum and maximum routing
......@@ -220,8 +220,11 @@ Asterisk (*) preceding a constraint indicates it was not met.
Constraint | Check | Worst Case | Best Case | Timing | Timing
| | Slack | Achievable | Errors | Score
----------------------------------------------------------------------------------------------------------
TS_Si57x_ik = PERIOD TIMEGRP "Si57x_ik" 1 | SETUP | 0.189ns| 8.144ns| 0| 0
20 MHz HIGH 50% | HOLD | 0.321ns| | 0| 0
TS_Si57x_ik = PERIOD TIMEGRP "Si57x_ik" 1 | SETUP | 0.399ns| 7.934ns| 0| 0
20 MHz HIGH 50% | HOLD | 0.347ns| | 0| 0
----------------------------------------------------------------------------------------------------------
TS_SysAppClk_ik = PERIOD TIMEGRP "SysAppC | SETUP | 5.459ns| 2.874ns| 0| 0
lk_ik" 120 MHz HIGH 50% | HOLD | 0.459ns| | 0| 0
----------------------------------------------------------------------------------------------------------
......@@ -235,9 +238,9 @@ All signals are completely routed.
WARNING:Par:283 - There are 47 loadless signals in this design. This design will cause Bitgen to issue DRC warnings.
Total REAL time to PAR completion: 1 mins 8 secs
Total CPU time to PAR completion: 1 mins 6 secs
Total CPU time to PAR completion: 1 mins 7 secs
Peak Memory Usage: 371 MB
Peak Memory Usage: 369 MB
Placer: Placement generated during map.
Routing: Completed - No errors found.
......
//! **************************************************************************
// Written by: Map M.70d on Thu Dec 16 18:42:57 2010
// Written by: Map M.70d on Fri Dec 17 10:01:22 2010
//! **************************************************************************
SCHEMATIC START;
......@@ -255,7 +255,6 @@ COMP "DdsF_ob2<1>" LOCATE = SITE "A2" LEVEL 1;
COMP "DdsDrOver_i" LOCATE = SITE "G13" LEVEL 1;
COMP "PllFmc2Synch_on" LOCATE = SITE "V12" LEVEL 1;
COMP "DdsTxEnable_o" LOCATE = SITE "G8" LEVEL 1;
COMP "Si57xOe_o" LOCATE = SITE "E18" LEVEL 1;
COMP "VmeP0BunchSelectDir_o" LOCATE = SITE "G15" LEVEL 1;
COMP "VAdjSClk_ok" LOCATE = SITE "J2" LEVEL 1;
COMP "PllDacClrn_orn" LOCATE = SITE "Y21" LEVEL 1;
......@@ -978,6 +977,63 @@ TIMEGRP Si57x_ik = BEL "i_Core/Si57xDivider_c_21" BEL
"i_Core/i_InterruptManager/Mram_int_fifo1_RAMC" BEL
"i_Core/i_InterruptManager/Mram_int_fifo1_RAMD_D1" BEL
"i_Core/i_InterruptManager/Mram_int_fifo1_RAMD";
TIMEGRP SysAppClk_ik = BEL "i_Core/i_Slv2SerWB/Dat_xb32_31" BEL
"i_Core/i_Slv2SerWB/Dat_xb32_30" BEL "i_Core/i_Slv2SerWB/Dat_xb32_29"
BEL "i_Core/i_Slv2SerWB/Dat_xb32_28" BEL
"i_Core/i_Slv2SerWB/Dat_xb32_27" BEL "i_Core/i_Slv2SerWB/Dat_xb32_26"
BEL "i_Core/i_Slv2SerWB/Dat_xb32_25" BEL
"i_Core/i_Slv2SerWB/Dat_xb32_24" BEL "i_Core/i_Slv2SerWB/Dat_xb32_23"
BEL "i_Core/i_Slv2SerWB/Dat_xb32_22" BEL
"i_Core/i_Slv2SerWB/Dat_xb32_21" BEL "i_Core/i_Slv2SerWB/Dat_xb32_20"
BEL "i_Core/i_Slv2SerWB/Dat_xb32_19" BEL
"i_Core/i_Slv2SerWB/Dat_xb32_18" BEL "i_Core/i_Slv2SerWB/Dat_xb32_17"
BEL "i_Core/i_Slv2SerWB/Dat_xb32_16" BEL
"i_Core/i_Slv2SerWB/Dat_xb32_15" BEL "i_Core/i_Slv2SerWB/Dat_xb32_14"
BEL "i_Core/i_Slv2SerWB/Dat_xb32_13" BEL
"i_Core/i_Slv2SerWB/Dat_xb32_12" BEL "i_Core/i_Slv2SerWB/Dat_xb32_11"
BEL "i_Core/i_Slv2SerWB/Dat_xb32_10" BEL
"i_Core/i_Slv2SerWB/Dat_xb32_9" BEL "i_Core/i_Slv2SerWB/Dat_xb32_8"
BEL "i_Core/i_Slv2SerWB/Dat_xb32_7" BEL
"i_Core/i_Slv2SerWB/Dat_xb32_6" BEL "i_Core/i_Slv2SerWB/Dat_xb32_5"
BEL "i_Core/i_Slv2SerWB/Dat_xb32_4" BEL
"i_Core/i_Slv2SerWB/Dat_xb32_3" BEL "i_Core/i_Slv2SerWB/Dat_xb32_2"
BEL "i_Core/i_Slv2SerWB/Dat_xb32_1" BEL
"i_Core/i_Slv2SerWB/Dat_xb32_0" BEL "i_Core/i_Slv2SerWB/AckI_xb3_2"
BEL "i_Core/i_Slv2SerWB/DatInShReg_b32_31" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_30" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_29" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_28" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_27" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_26" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_25" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_24" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_23" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_22" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_21" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_20" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_19" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_18" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_17" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_16" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_15" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_14" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_13" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_12" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_11" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_10" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_9" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_8" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_7" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_6" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_5" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_4" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_3" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_2" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_1" BEL
"i_Core/i_Slv2SerWB/DatInShReg_b32_0" BEL
"i_Core/i_Slv2SerWB/AckI_d3_2" BEL "i_Core/i_Slv2SerWB/AckI_d3_1" BEL
"i_Core/i_Slv2SerWB/AckI_d3_0" BEL "SysAppClk_ik_BUFGP/BUFG";
TS_Si57x_ik = PERIOD TIMEGRP "Si57x_ik" 120 MHz HIGH 50%;
TS_SysAppClk_ik = PERIOD TIMEGRP "SysAppClk_ik" 120 MHz HIGH 50%;
SCHEMATIC END;
......@@ -329,4 +329,4 @@
<!ELEMENT twName (#PCDATA)>
<!ELEMENT twValue (#PCDATA)>
]>
<twReport><twBody><twSumRpt><twConstSummaryTable><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_Si57x_ik = PERIOD TIMEGRP &quot;Si57x_ik&quot; 120 MHz HIGH 50%</twConstName><twConstData type="SETUP" slack="0.189" best="8.144" units="ns" errors="0" score="0"/><twConstData type="HOLD" slack="0.321" units="ns" errors="0" score="0"/></twConstSummary></twConstSummaryTable><twUnmetConstCnt anchorID="2">0</twUnmetConstCnt></twSumRpt></twBody></twReport>
<twReport><twBody><twSumRpt><twConstSummaryTable><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_Si57x_ik = PERIOD TIMEGRP &quot;Si57x_ik&quot; 120 MHz HIGH 50%</twConstName><twConstData type="SETUP" slack="0.399" best="7.934" units="ns" errors="0" score="0"/><twConstData type="HOLD" slack="0.347" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">TS_SysAppClk_ik = PERIOD TIMEGRP &quot;SysAppClk_ik&quot; 120 MHz HIGH 50%</twConstName><twConstData type="SETUP" slack="5.459" best="2.874" units="ns" errors="0" score="0"/><twConstData type="HOLD" slack="0.459" units="ns" errors="0" score="0"/></twConstSummary></twConstSummaryTable><twUnmetConstCnt anchorID="2">0</twUnmetConstCnt></twSumRpt></twBody></twReport>
......@@ -10,7 +10,7 @@ Total CPU time to Xst completion: 0.16 secs
Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.17 secs
Total CPU time to Xst completion: 0.16 secs
--> Reading design: SFpga.prj
......@@ -303,6 +303,7 @@ WARNING:Xst:653 - Signal <Gbit4Sys2App_o> is used but never assigned. This sourc
Found 1-bit tristate buffer for signal <DdrDQ_iob16<1>> created at line 315
Found 1-bit tristate buffer for signal <DdrDQ_iob16<0>> created at line 315
Found 1-bit tristate buffer for signal <Si57xSDa_io> created at line 338
Found 1-bit tristate buffer for signal <Si57xOe_o> created at line 339
Found 1-bit tristate buffer for signal <DdsIOUpdate_io> created at line 347
Found 1-bit tristate buffer for signal <FpLed_onb8<0>> created at line 374
Found 1-bit tristate buffer for signal <FpLed_onb8<1>> created at line 375
......@@ -319,7 +320,7 @@ WARNING:Xst:653 - Signal <Gbit4Sys2App_o> is used but never assigned. This sourc
inferred 3 Adder/Subtractor(s).
inferred 69 D-type flip-flop(s).
inferred 1 Multiplexer(s).
inferred 33 Tristate(s).
inferred 34 Tristate(s).
Unit <SystemFpga> synthesized.
Synthesizing Unit <Monostable>.
......@@ -329,7 +330,7 @@ Synthesizing Unit <Monostable>.
Found 20-bit register for signal <Counter_c>.
Found 1-bit register for signal <SynchOutput_oq>.
Found 1-bit register for signal <AsynchIn_ax>.
Found 20-bit adder for signal <Counter_c[19]_GND_25_o_add_6_OUT> created at line 20.
Found 20-bit adder for signal <Counter_c[19]_GND_26_o_add_6_OUT> created at line 20.
Summary:
inferred 1 Adder/Subtractor(s).
inferred 25 D-type flip-flop(s).
......@@ -343,7 +344,7 @@ Synthesizing Unit <Debouncer>.
Found 16-bit register for signal <Counter_c>.
Found 1-bit register for signal <DebouncedSignal_oq>.
Found 3-bit register for signal <BouncingSignal_x>.
Found 16-bit adder for signal <Counter_c[15]_GND_34_o_add_7_OUT> created at line 38.
Found 16-bit adder for signal <Counter_c[15]_GND_35_o_add_7_OUT> created at line 38.
Found 1-bit comparator equal for signal <n0003> created at line 31
Summary:
inferred 1 Adder/Subtractor(s).
......@@ -393,9 +394,9 @@ Synthesizing Unit <VmeInterfaceWB>.
| Encoding | auto |
| Implementation | LUT |
-----------------------------------------------------------------------
Found 9-bit adder for signal <AckTimeout_c[8]_GND_35_o_add_16_OUT> created at line 100.
Found 22-bit adder for signal <adr_o[21]_GND_35_o_add_48_OUT> created at line 200.
Found 1-bit 4-to-1 multiplexer for signal <_n0284> created at line 148.
Found 9-bit adder for signal <AckTimeout_c[8]_GND_36_o_add_16_OUT> created at line 100.
Found 22-bit adder for signal <adr_o[21]_GND_36_o_add_48_OUT> created at line 200.
Found 1-bit 4-to-1 multiplexer for signal <_n0291> created at line 148.
Found 1-bit 4-to-1 multiplexer for signal <_n0316> created at line 148.
Found 1-bit tristate buffer for signal <vme_data<31>> created at line 110
Found 1-bit tristate buffer for signal <vme_data<30>> created at line 110
......@@ -429,7 +430,7 @@ Synthesizing Unit <VmeInterfaceWB>.
Found 1-bit tristate buffer for signal <vme_data<2>> created at line 110
Found 1-bit tristate buffer for signal <vme_data<1>> created at line 110
Found 1-bit tristate buffer for signal <vme_data<0>> created at line 110
Found 8-bit comparator equal for signal <VmeBaseAddr[7]_GND_35_o_equal_12_o> created at line 75
Found 8-bit comparator equal for signal <VmeBaseAddr[7]_GND_36_o_equal_12_o> created at line 75
Found 3-bit comparator equal for signal <vme_addr[3]_intlev_reg[2]_equal_43_o> created at line 178
Summary:
inferred 2 Adder/Subtractor(s).
......@@ -461,10 +462,10 @@ WARNING:Xst:647 - Input <Dat_ib32<30:11>> is never used. This port will be prese
Found 1-bit register for signal <fifo_empty>.
Found 1-bit register for signal <Stb_d>.
Found 1-bit register for signal <osc_clk>.
Found 4-bit subtractor for signal <int_counter[3]_GND_68_o_sub_23_OUT> created at line 101.
Found 4-bit adder for signal <int_counter[3]_GND_68_o_add_20_OUT> created at line 99.
Found 3-bit adder for signal <int_pointer_w[2]_GND_68_o_add_29_OUT> created at line 115.
Found 3-bit adder for signal <int_pointer_r[2]_GND_68_o_add_31_OUT> created at line 118.
Found 4-bit subtractor for signal <int_counter[3]_GND_69_o_sub_23_OUT> created at line 101.
Found 4-bit adder for signal <int_counter[3]_GND_69_o_add_20_OUT> created at line 99.
Found 3-bit adder for signal <int_pointer_w[2]_GND_69_o_add_29_OUT> created at line 115.
Found 3-bit adder for signal <int_pointer_r[2]_GND_69_o_add_31_OUT> created at line 118.
Found 32-bit 4-to-1 multiplexer for signal <Dat_oab32> created at line 165.
Found 3-bit comparator equal for signal <int_pointer_w[2]_int_pointer_r[2]_equal_42_o> created at line 132
Found 3-bit comparator equal for signal <n0078> created at line 134
......@@ -587,8 +588,8 @@ Synthesizing Unit <SpiMasterWB>.
| Encoding | auto |
| Implementation | LUT |
-----------------------------------------------------------------------
Found 12-bit adder for signal <TxCounter_cb12[11]_GND_77_o_add_40_OUT> created at line 138.
Found 16-bit adder for signal <TimeCounter_cb16[15]_GND_77_o_add_67_OUT> created at line 163.
Found 12-bit adder for signal <TxCounter_cb12[11]_GND_78_o_add_40_OUT> created at line 138.
Found 16-bit adder for signal <TimeCounter_cb16[15]_GND_78_o_add_67_OUT> created at line 163.
Found 1-bit 32-to-1 multiplexer for signal <a_SpiChannel_b5[4]_MiSo_ib32[31]_Mux_56_o> created at line 150.
Found 32-bit 7-to-1 multiplexer for signal <Dat_oab32> created at line 185.
Found 16-bit comparator equal for signal <TimeCounter_cb16[15]_a_WaitTime_b16[15]_equal_9_o> created at line 77
......@@ -649,8 +650,8 @@ Macro Statistics
5-bit 2-to-1 multiplexer : 1
7-bit 2-to-1 multiplexer : 1
9-bit 2-to-1 multiplexer : 1
# Tristates : 65
1-bit tristate buffer : 65
# Tristates : 66
1-bit tristate buffer : 66
# FSMs : 2
# Xors : 4
1-bit xor3 : 2
......@@ -901,9 +902,9 @@ Primitive and Black Box Usage:
# IBUFGDS : 6
# IOBUF : 32
# IOBUFDS : 2
# OBUF : 152
# OBUF : 151
# OBUFDS : 3
# OBUFT : 33
# OBUFT : 34
Device utilization summary:
---------------------------
......@@ -996,8 +997,8 @@ Delay: 8.328ns (Levels of Logic = 5)
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDRE:C->Q 2 0.525 1.047 i_Core/i_VmeInterface/adr_o_21_1 (i_Core/i_VmeInterface/adr_o_21_1)
LUT6:I1->O 4 0.254 0.912 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o13 (i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o12)
LUT4:I1->O 1 0.235 0.688 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_4_o1_1 (i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_4_o1)
LUT6:I1->O 4 0.254 0.912 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_1_o13 (i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_1_o12)
LUT4:I1->O 1 0.235 0.688 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_4_o1_1 (i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_4_o1)
LUT5:I3->O 5 0.250 0.715 i_Core/i_AddressDecoderWB/Mmux_StbSpiMaster_o11 (i_Core/StbSpiMaster)
LUT6:I5->O 58 0.254 1.601 i_Core/i_AddressDecoderWB/Ack_o (i_Core/AckMaster)
LUT3:I2->O 32 0.254 1.291 i_Core/i_VmeInterface/NewAck_a_AckTimeout_c[8]_OR_27_o2 (i_Core/i_VmeInterface/NewAck_a_AckTimeout_c[8]_OR_27_o)
......@@ -1175,8 +1176,8 @@ Offset: 8.362ns (Levels of Logic = 6)
---------------------------------------- ------------
IBUF:I->O 2 1.228 1.047 VmeGa_ib5n_0_IBUF (VmeGa_ib5n_0_IBUF)
LUT6:I1->O 5 0.254 0.943 i_Core/i_VmeInterface/gap_error1 (i_Core/i_VmeInterface/gap_error)
LUT4:I1->O 2 0.235 0.725 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_35_o_equal_12_o311 (N5)
LUT6:I4->O 1 0.250 0.808 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_35_o_equal_12_o87_SW0 (N259)
LUT4:I1->O 2 0.235 0.725 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o311 (N5)
LUT6:I4->O 1 0.250 0.808 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o87_SW0 (N259)
LUT6:I3->O 12 0.235 0.909 i_Core/i_VmeInterface/selected (i_Core/i_VmeInterface/selected)
LUT5:I4->O 24 0.254 1.172 i_Core/i_VmeInterface/_n0350_inv1 (i_Core/i_VmeInterface/_n0350_inv)
FDRE:CE 0.302 i_Core/i_VmeInterface/adr_o_0
......@@ -1343,12 +1344,12 @@ i_Core/i_VmeInterface/stb_o| 2.049| | | |
=========================================================================
Total REAL time to Xst completion: 28.00 secs
Total CPU time to Xst completion: 28.30 secs
Total REAL time to Xst completion: 27.00 secs
Total CPU time to Xst completion: 26.61 secs
-->
Total memory usage is 154916 kilobytes
Total memory usage is 154724 kilobytes
Number of errors : 0 ( 0 filtered)
Number of warnings : 126 ( 0 filtered)
......
......@@ -26,19 +26,19 @@ INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on
================================================================================
Timing constraint: TS_Si57x_ik = PERIOD TIMEGRP "Si57x_ik" 120 MHz HIGH 50%;
44725 paths analyzed, 2977 endpoints analyzed, 0 failing endpoints
44725 paths analyzed, 2980 endpoints analyzed, 0 failing endpoints
0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors)
Minimum period is 8.144ns.
Minimum period is 7.934ns.
--------------------------------------------------------------------------------
Paths for end point i_Core/i_SpiMasterWB/ShiftIn_qb32_16 (SLICE_X63Y77.C4), 310 paths
Paths for end point i_Core/i_VmeInterface/state_FSM_FFd2 (SLICE_X85Y108.A6), 108 paths
--------------------------------------------------------------------------------
Slack (setup path): 0.189ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/adr_o_11 (FF)
Destination: i_Core/i_SpiMasterWB/ShiftIn_qb32_16 (FF)
Slack (setup path): 0.399ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/adr_o_5 (FF)
Destination: i_Core/i_VmeInterface/state_FSM_FFd2 (FF)
Requirement: 8.333ns
Data Path Delay: 8.211ns (Levels of Logic = 6)
Clock Path Skew: 0.102ns (0.993 - 0.891)
Data Path Delay: 7.877ns (Levels of Logic = 6)
Clock Path Skew: -0.022ns (0.252 - 0.274)
Source Clock: Si57x_BUFG rising at 0.000ns
Destination Clock: Si57x_BUFG rising at 8.333ns
Clock Uncertainty: 0.035ns
......@@ -49,42 +49,42 @@ Slack (setup path): 0.189ns (requirement - (data path - clock path skew + un
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/adr_o_11 to i_Core/i_SpiMasterWB/ShiftIn_qb32_16
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/adr_o_5 to i_Core/i_VmeInterface/state_FSM_FFd2
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X86Y101.DQ Tcko 0.476 i_Core/i_VmeInterface/adr_o<11>
i_Core/i_VmeInterface/adr_o_11
SLICE_X87Y100.C1 net (fanout=4) 1.116 i_Core/i_VmeInterface/adr_o<11>
SLICE_X87Y100.C Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A2 net (fanout=3) 0.541 i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00434_1
SLICE_X79Y90.B3 net (fanout=1) 1.395 i_Core/i_AddressDecoderWB/_n00434
SLICE_X79Y90.B Tilo 0.259 i_Core/i_VmeInterface/ack_d
SLICE_X84Y96.AQ Tcko 0.525 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_5
SLICE_X85Y95.C2 net (fanout=4) 1.133 i_Core/i_VmeInterface/adr_o<5>
SLICE_X85Y95.C Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_1_o12
i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_1_o11
SLICE_X85Y95.A6 net (fanout=4) 0.327 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_1_o1
SLICE_X85Y95.A Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_1_o12
i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_4_o1_1
SLICE_X81Y90.B5 net (fanout=1) 0.955 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_4_o1
SLICE_X81Y90.B Tilo 0.259 i_Core/i_VmeInterface/ack_d
i_Core/i_AddressDecoderWB/Mmux_StbSpiMaster_o11
SLICE_X64Y76.C6 net (fanout=5) 2.102 i_Core/StbSpiMaster
SLICE_X64Y76.C Tilo 0.255 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0649_inv11_1
SLICE_X64Y76.B4 net (fanout=1) 0.301 i_Core/i_SpiMasterWB/_n0649_inv11
SLICE_X64Y76.B Tilo 0.254 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X63Y77.C4 net (fanout=32) 0.621 i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X63Y77.CLK Tas 0.373 i_Core/i_SpiMasterWB/ShiftIn_qb32<16>
i_Core/i_SpiMasterWB/ShiftIn_qb32_16_rstpot
i_Core/i_SpiMasterWB/ShiftIn_qb32_16
SLICE_X81Y90.A5 net (fanout=5) 0.233 i_Core/StbSpiMaster
SLICE_X81Y90.A Tilo 0.259 i_Core/i_VmeInterface/ack_d
i_Core/i_AddressDecoderWB/Ack_o
SLICE_X85Y108.B5 net (fanout=57) 2.491 i_Core/AckMaster
SLICE_X85Y108.B Tilo 0.259 i_Core/i_VmeInterface/state_FSM_FFd2
i_Core/i_VmeInterface/state_FSM_FFd2-In2
SLICE_X85Y108.A6 net (fanout=1) 0.545 i_Core/i_VmeInterface/state_FSM_FFd2-In2
SLICE_X85Y108.CLK Tas 0.373 i_Core/i_VmeInterface/state_FSM_FFd2
i_Core/i_VmeInterface/state_FSM_FFd2-In3
i_Core/i_VmeInterface/state_FSM_FFd2
------------------------------------------------- ---------------------------
Total 8.211ns (2.135ns logic, 6.076ns route)
(26.0% logic, 74.0% route)
Total 7.877ns (2.193ns logic, 5.684ns route)
(27.8% logic, 72.2% route)
--------------------------------------------------------------------------------
Slack (setup path): 0.392ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/adr_o_9 (FF)
Destination: i_Core/i_SpiMasterWB/ShiftIn_qb32_16 (FF)
Slack (setup path): 0.416ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/adr_o_5 (FF)
Destination: i_Core/i_VmeInterface/state_FSM_FFd2 (FF)
Requirement: 8.333ns
Data Path Delay: 8.008ns (Levels of Logic = 6)
Clock Path Skew: 0.102ns (0.993 - 0.891)
Data Path Delay: 7.860ns (Levels of Logic = 5)
Clock Path Skew: -0.022ns (0.252 - 0.274)
Source Clock: Si57x_BUFG rising at 0.000ns
Destination Clock: Si57x_BUFG rising at 8.333ns
Clock Uncertainty: 0.035ns
......@@ -95,42 +95,39 @@ Slack (setup path): 0.392ns (requirement - (data path - clock path skew + un
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/adr_o_9 to i_Core/i_SpiMasterWB/ShiftIn_qb32_16
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/adr_o_5 to i_Core/i_VmeInterface/state_FSM_FFd2
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X87Y101.BQ Tcko 0.430 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_9
SLICE_X87Y100.C6 net (fanout=4) 0.959 i_Core/i_VmeInterface/adr_o<9>
SLICE_X87Y100.C Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A2 net (fanout=3) 0.541 i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00434_1
SLICE_X79Y90.B3 net (fanout=1) 1.395 i_Core/i_AddressDecoderWB/_n00434
SLICE_X79Y90.B Tilo 0.259 i_Core/i_VmeInterface/ack_d
i_Core/i_AddressDecoderWB/Mmux_StbSpiMaster_o11
SLICE_X64Y76.C6 net (fanout=5) 2.102 i_Core/StbSpiMaster
SLICE_X64Y76.C Tilo 0.255 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0649_inv11_1
SLICE_X64Y76.B4 net (fanout=1) 0.301 i_Core/i_SpiMasterWB/_n0649_inv11
SLICE_X64Y76.B Tilo 0.254 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X63Y77.C4 net (fanout=32) 0.621 i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X63Y77.CLK Tas 0.373 i_Core/i_SpiMasterWB/ShiftIn_qb32<16>
i_Core/i_SpiMasterWB/ShiftIn_qb32_16_rstpot
i_Core/i_SpiMasterWB/ShiftIn_qb32_16
SLICE_X84Y96.AQ Tcko 0.525 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_5
SLICE_X85Y95.C2 net (fanout=4) 1.133 i_Core/i_VmeInterface/adr_o<5>
SLICE_X85Y95.C Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_1_o12
i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_1_o11
SLICE_X80Y91.A4 net (fanout=4) 0.783 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_1_o1
SLICE_X80Y91.A Tilo 0.254 i_Core/i_Generic4OutputRegs/Cyc_i_Adr_ib2[1]_AND_148_o
i_Core/i_AddressDecoderWB/StbGenericOutputRegs_o1
SLICE_X81Y90.A1 net (fanout=4) 0.979 i_Core/StbGenericOutputRegs
SLICE_X81Y90.A Tilo 0.259 i_Core/i_VmeInterface/ack_d
i_Core/i_AddressDecoderWB/Ack_o
SLICE_X85Y108.B5 net (fanout=57) 2.491 i_Core/AckMaster
SLICE_X85Y108.B Tilo 0.259 i_Core/i_VmeInterface/state_FSM_FFd2
i_Core/i_VmeInterface/state_FSM_FFd2-In2
SLICE_X85Y108.A6 net (fanout=1) 0.545 i_Core/i_VmeInterface/state_FSM_FFd2-In2
SLICE_X85Y108.CLK Tas 0.373 i_Core/i_VmeInterface/state_FSM_FFd2
i_Core/i_VmeInterface/state_FSM_FFd2-In3
i_Core/i_VmeInterface/state_FSM_FFd2
------------------------------------------------- ---------------------------
Total 8.008ns (2.089ns logic, 5.919ns route)
(26.1% logic, 73.9% route)
Total 7.860ns (1.929ns logic, 5.931ns route)
(24.5% logic, 75.5% route)
--------------------------------------------------------------------------------
Slack (setup path): 0.626ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/adr_o_6 (FF)
Destination: i_Core/i_SpiMasterWB/ShiftIn_qb32_16 (FF)
Slack (setup path): 0.430ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/adr_o_12 (FF)
Destination: i_Core/i_VmeInterface/state_FSM_FFd2 (FF)
Requirement: 8.333ns
Data Path Delay: 7.774ns (Levels of Logic = 6)
Clock Path Skew: 0.102ns (0.993 - 0.891)
Data Path Delay: 7.847ns (Levels of Logic = 5)
Clock Path Skew: -0.021ns (0.252 - 0.273)
Source Clock: Si57x_BUFG rising at 0.000ns
Destination Clock: Si57x_BUFG rising at 8.333ns
Clock Uncertainty: 0.035ns
......@@ -141,45 +138,42 @@ Slack (setup path): 0.626ns (requirement - (data path - clock path skew + un
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/adr_o_6 to i_Core/i_SpiMasterWB/ShiftIn_qb32_16
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/adr_o_12 to i_Core/i_VmeInterface/state_FSM_FFd2
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X87Y101.AQ Tcko 0.430 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_6
SLICE_X87Y100.C2 net (fanout=4) 0.725 i_Core/i_VmeInterface/adr_o<6>
SLICE_X87Y100.C Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A2 net (fanout=3) 0.541 i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00434_1
SLICE_X79Y90.B3 net (fanout=1) 1.395 i_Core/i_AddressDecoderWB/_n00434
SLICE_X79Y90.B Tilo 0.259 i_Core/i_VmeInterface/ack_d
i_Core/i_AddressDecoderWB/Mmux_StbSpiMaster_o11
SLICE_X64Y76.C6 net (fanout=5) 2.102 i_Core/StbSpiMaster
SLICE_X64Y76.C Tilo 0.255 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0649_inv11_1
SLICE_X64Y76.B4 net (fanout=1) 0.301 i_Core/i_SpiMasterWB/_n0649_inv11
SLICE_X64Y76.B Tilo 0.254 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X63Y77.C4 net (fanout=32) 0.621 i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X63Y77.CLK Tas 0.373 i_Core/i_SpiMasterWB/ShiftIn_qb32<16>
i_Core/i_SpiMasterWB/ShiftIn_qb32_16_rstpot
i_Core/i_SpiMasterWB/ShiftIn_qb32_16
SLICE_X84Y97.CQ Tcko 0.525 i_Core/i_VmeInterface/adr_o<6>
i_Core/i_VmeInterface/adr_o_12
SLICE_X85Y95.B4 net (fanout=4) 0.862 i_Core/i_VmeInterface/adr_o<12>
SLICE_X85Y95.B Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_1_o12
i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_1_o12
SLICE_X80Y91.A1 net (fanout=4) 1.041 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_71_o_equal_1_o11
SLICE_X80Y91.A Tilo 0.254 i_Core/i_Generic4OutputRegs/Cyc_i_Adr_ib2[1]_AND_148_o
i_Core/i_AddressDecoderWB/StbGenericOutputRegs_o1
SLICE_X81Y90.A1 net (fanout=4) 0.979 i_Core/StbGenericOutputRegs
SLICE_X81Y90.A Tilo 0.259 i_Core/i_VmeInterface/ack_d
i_Core/i_AddressDecoderWB/Ack_o
SLICE_X85Y108.B5 net (fanout=57) 2.491 i_Core/AckMaster
SLICE_X85Y108.B Tilo 0.259 i_Core/i_VmeInterface/state_FSM_FFd2
i_Core/i_VmeInterface/state_FSM_FFd2-In2
SLICE_X85Y108.A6 net (fanout=1) 0.545 i_Core/i_VmeInterface/state_FSM_FFd2-In2
SLICE_X85Y108.CLK Tas 0.373 i_Core/i_VmeInterface/state_FSM_FFd2
i_Core/i_VmeInterface/state_FSM_FFd2-In3
i_Core/i_VmeInterface/state_FSM_FFd2
------------------------------------------------- ---------------------------
Total 7.774ns (2.089ns logic, 5.685ns route)
(26.9% logic, 73.1% route)
Total 7.847ns (1.929ns logic, 5.918ns route)
(24.6% logic, 75.4% route)
--------------------------------------------------------------------------------
Paths for end point i_Core/i_SpiMasterWB/ShiftIn_qb32_21 (SLICE_X67Y77.C6), 310 paths
Paths for end point i_Core/i_VmeInterface/adr_o_5 (SLICE_X84Y96.CE), 15 paths
--------------------------------------------------------------------------------
Slack (setup path): 0.194ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/adr_o_11 (FF)
Destination: i_Core/i_SpiMasterWB/ShiftIn_qb32_21 (FF)
Slack (setup path): 0.888ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/VmeBaseAddr_6 (FF)
Destination: i_Core/i_VmeInterface/adr_o_5 (FF)
Requirement: 8.333ns
Data Path Delay: 8.206ns (Levels of Logic = 6)
Clock Path Skew: 0.102ns (0.993 - 0.891)
Data Path Delay: 7.433ns (Levels of Logic = 3)
Clock Path Skew: 0.023ns (0.780 - 0.757)
Source Clock: Si57x_BUFG rising at 0.000ns
Destination Clock: Si57x_BUFG rising at 8.333ns
Clock Uncertainty: 0.035ns
......@@ -190,42 +184,35 @@ Slack (setup path): 0.194ns (requirement - (data path - clock path skew + un
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/adr_o_11 to i_Core/i_SpiMasterWB/ShiftIn_qb32_21
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/VmeBaseAddr_6 to i_Core/i_VmeInterface/adr_o_5
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X86Y101.DQ Tcko 0.476 i_Core/i_VmeInterface/adr_o<11>
i_Core/i_VmeInterface/adr_o_11
SLICE_X87Y100.C1 net (fanout=4) 1.116 i_Core/i_VmeInterface/adr_o<11>
SLICE_X87Y100.C Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A2 net (fanout=3) 0.541 i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00434_1
SLICE_X79Y90.B3 net (fanout=1) 1.395 i_Core/i_AddressDecoderWB/_n00434
SLICE_X79Y90.B Tilo 0.259 i_Core/i_VmeInterface/ack_d
i_Core/i_AddressDecoderWB/Mmux_StbSpiMaster_o11
SLICE_X64Y76.C6 net (fanout=5) 2.102 i_Core/StbSpiMaster
SLICE_X64Y76.C Tilo 0.255 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0649_inv11_1
SLICE_X64Y76.B4 net (fanout=1) 0.301 i_Core/i_SpiMasterWB/_n0649_inv11
SLICE_X64Y76.B Tilo 0.254 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X67Y77.C6 net (fanout=32) 0.616 i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X67Y77.CLK Tas 0.373 i_Core/i_SpiMasterWB/ShiftIn_qb32<9>
i_Core/i_SpiMasterWB/ShiftIn_qb32_21_rstpot
i_Core/i_SpiMasterWB/ShiftIn_qb32_21
SLICE_X126Y127.CQ Tcko 0.476 i_Core/i_VmeInterface/VmeBaseAddr<6>
i_Core/i_VmeInterface/VmeBaseAddr_6
SLICE_X126Y126.A6 net (fanout=1) 0.527 i_Core/i_VmeInterface/VmeBaseAddr<6>
SLICE_X126Y126.A Tilo 0.235 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o85
i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o86
SLICE_X85Y100.C6 net (fanout=2) 4.055 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o85
SLICE_X85Y100.C Tilo 0.259 i_Core/i_VmeInterface/as_shr<1>
i_Core/i_VmeInterface/selected
SLICE_X85Y100.D5 net (fanout=12) 0.258 i_Core/i_VmeInterface/selected
SLICE_X85Y100.DMUX Tilo 0.337 i_Core/i_VmeInterface/as_shr<1>
i_Core/i_VmeInterface/_n0350_inv1
SLICE_X84Y96.CE net (fanout=10) 0.973 i_Core/i_VmeInterface/_n0350_inv
SLICE_X84Y96.CLK Tceck 0.313 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_5
------------------------------------------------- ---------------------------
Total 8.206ns (2.135ns logic, 6.071ns route)
(26.0% logic, 74.0% route)
Total 7.433ns (1.620ns logic, 5.813ns route)
(21.8% logic, 78.2% route)
--------------------------------------------------------------------------------
Slack (setup path): 0.397ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/adr_o_9 (FF)
Destination: i_Core/i_SpiMasterWB/ShiftIn_qb32_21 (FF)
Slack (setup path): 0.949ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/VmeBaseAddr_5 (FF)
Destination: i_Core/i_VmeInterface/adr_o_5 (FF)
Requirement: 8.333ns
Data Path Delay: 8.003ns (Levels of Logic = 6)
Clock Path Skew: 0.102ns (0.993 - 0.891)
Data Path Delay: 7.372ns (Levels of Logic = 3)
Clock Path Skew: 0.023ns (0.780 - 0.757)
Source Clock: Si57x_BUFG rising at 0.000ns
Destination Clock: Si57x_BUFG rising at 8.333ns
Clock Uncertainty: 0.035ns
......@@ -236,42 +223,35 @@ Slack (setup path): 0.397ns (requirement - (data path - clock path skew + un
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/adr_o_9 to i_Core/i_SpiMasterWB/ShiftIn_qb32_21
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/VmeBaseAddr_5 to i_Core/i_VmeInterface/adr_o_5
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X87Y101.BQ Tcko 0.430 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_9
SLICE_X87Y100.C6 net (fanout=4) 0.959 i_Core/i_VmeInterface/adr_o<9>
SLICE_X87Y100.C Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A2 net (fanout=3) 0.541 i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00434_1
SLICE_X79Y90.B3 net (fanout=1) 1.395 i_Core/i_AddressDecoderWB/_n00434
SLICE_X79Y90.B Tilo 0.259 i_Core/i_VmeInterface/ack_d
i_Core/i_AddressDecoderWB/Mmux_StbSpiMaster_o11
SLICE_X64Y76.C6 net (fanout=5) 2.102 i_Core/StbSpiMaster
SLICE_X64Y76.C Tilo 0.255 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0649_inv11_1
SLICE_X64Y76.B4 net (fanout=1) 0.301 i_Core/i_SpiMasterWB/_n0649_inv11
SLICE_X64Y76.B Tilo 0.254 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X67Y77.C6 net (fanout=32) 0.616 i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X67Y77.CLK Tas 0.373 i_Core/i_SpiMasterWB/ShiftIn_qb32<9>
i_Core/i_SpiMasterWB/ShiftIn_qb32_21_rstpot
i_Core/i_SpiMasterWB/ShiftIn_qb32_21
SLICE_X126Y127.BQ Tcko 0.476 i_Core/i_VmeInterface/VmeBaseAddr<6>
i_Core/i_VmeInterface/VmeBaseAddr_5
SLICE_X126Y126.A4 net (fanout=1) 0.466 i_Core/i_VmeInterface/VmeBaseAddr<5>
SLICE_X126Y126.A Tilo 0.235 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o85
i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o86
SLICE_X85Y100.C6 net (fanout=2) 4.055 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o85
SLICE_X85Y100.C Tilo 0.259 i_Core/i_VmeInterface/as_shr<1>
i_Core/i_VmeInterface/selected
SLICE_X85Y100.D5 net (fanout=12) 0.258 i_Core/i_VmeInterface/selected
SLICE_X85Y100.DMUX Tilo 0.337 i_Core/i_VmeInterface/as_shr<1>
i_Core/i_VmeInterface/_n0350_inv1
SLICE_X84Y96.CE net (fanout=10) 0.973 i_Core/i_VmeInterface/_n0350_inv
SLICE_X84Y96.CLK Tceck 0.313 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_5
------------------------------------------------- ---------------------------
Total 8.003ns (2.089ns logic, 5.914ns route)
(26.1% logic, 73.9% route)
Total 7.372ns (1.620ns logic, 5.752ns route)
(22.0% logic, 78.0% route)
--------------------------------------------------------------------------------
Slack (setup path): 0.631ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/adr_o_6 (FF)
Destination: i_Core/i_SpiMasterWB/ShiftIn_qb32_21 (FF)
Slack (setup path): 1.042ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/VmeBaseAddr_7 (FF)
Destination: i_Core/i_VmeInterface/adr_o_5 (FF)
Requirement: 8.333ns
Data Path Delay: 7.769ns (Levels of Logic = 6)
Clock Path Skew: 0.102ns (0.993 - 0.891)
Data Path Delay: 7.281ns (Levels of Logic = 3)
Clock Path Skew: 0.025ns (0.780 - 0.755)
Source Clock: Si57x_BUFG rising at 0.000ns
Destination Clock: Si57x_BUFG rising at 8.333ns
Clock Uncertainty: 0.035ns
......@@ -282,45 +262,38 @@ Slack (setup path): 0.631ns (requirement - (data path - clock path skew + un
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/adr_o_6 to i_Core/i_SpiMasterWB/ShiftIn_qb32_21
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/VmeBaseAddr_7 to i_Core/i_VmeInterface/adr_o_5
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X87Y101.AQ Tcko 0.430 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_6
SLICE_X87Y100.C2 net (fanout=4) 0.725 i_Core/i_VmeInterface/adr_o<6>
SLICE_X87Y100.C Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A2 net (fanout=3) 0.541 i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00434_1
SLICE_X79Y90.B3 net (fanout=1) 1.395 i_Core/i_AddressDecoderWB/_n00434
SLICE_X79Y90.B Tilo 0.259 i_Core/i_VmeInterface/ack_d
i_Core/i_AddressDecoderWB/Mmux_StbSpiMaster_o11
SLICE_X64Y76.C6 net (fanout=5) 2.102 i_Core/StbSpiMaster
SLICE_X64Y76.C Tilo 0.255 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0649_inv11_1
SLICE_X64Y76.B4 net (fanout=1) 0.301 i_Core/i_SpiMasterWB/_n0649_inv11
SLICE_X64Y76.B Tilo 0.254 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X67Y77.C6 net (fanout=32) 0.616 i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X67Y77.CLK Tas 0.373 i_Core/i_SpiMasterWB/ShiftIn_qb32<9>
i_Core/i_SpiMasterWB/ShiftIn_qb32_21_rstpot
i_Core/i_SpiMasterWB/ShiftIn_qb32_21
SLICE_X126Y125.DQ Tcko 0.476 i_Core/i_VmeInterface/VmeBaseAddr<7>
i_Core/i_VmeInterface/VmeBaseAddr_7
SLICE_X126Y126.A5 net (fanout=1) 0.375 i_Core/i_VmeInterface/VmeBaseAddr<7>
SLICE_X126Y126.A Tilo 0.235 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o85
i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o86
SLICE_X85Y100.C6 net (fanout=2) 4.055 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o85
SLICE_X85Y100.C Tilo 0.259 i_Core/i_VmeInterface/as_shr<1>
i_Core/i_VmeInterface/selected
SLICE_X85Y100.D5 net (fanout=12) 0.258 i_Core/i_VmeInterface/selected
SLICE_X85Y100.DMUX Tilo 0.337 i_Core/i_VmeInterface/as_shr<1>
i_Core/i_VmeInterface/_n0350_inv1
SLICE_X84Y96.CE net (fanout=10) 0.973 i_Core/i_VmeInterface/_n0350_inv
SLICE_X84Y96.CLK Tceck 0.313 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_5
------------------------------------------------- ---------------------------
Total 7.769ns (2.089ns logic, 5.680ns route)
(26.9% logic, 73.1% route)
Total 7.281ns (1.620ns logic, 5.661ns route)
(22.2% logic, 77.8% route)
--------------------------------------------------------------------------------
Paths for end point i_Core/i_SpiMasterWB/ShiftIn_qb32_30 (SLICE_X67Y75.C6), 310 paths
Paths for end point i_Core/i_VmeInterface/adr_o_14 (SLICE_X84Y96.CE), 15 paths
--------------------------------------------------------------------------------
Slack (setup path): 0.197ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/adr_o_11 (FF)
Destination: i_Core/i_SpiMasterWB/ShiftIn_qb32_30 (FF)
Slack (setup path): 0.932ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/VmeBaseAddr_6 (FF)
Destination: i_Core/i_VmeInterface/adr_o_14 (FF)
Requirement: 8.333ns
Data Path Delay: 8.199ns (Levels of Logic = 6)
Clock Path Skew: 0.098ns (0.989 - 0.891)
Data Path Delay: 7.389ns (Levels of Logic = 3)
Clock Path Skew: 0.023ns (0.780 - 0.757)
Source Clock: Si57x_BUFG rising at 0.000ns
Destination Clock: Si57x_BUFG rising at 8.333ns
Clock Uncertainty: 0.035ns
......@@ -331,42 +304,35 @@ Slack (setup path): 0.197ns (requirement - (data path - clock path skew + un
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/adr_o_11 to i_Core/i_SpiMasterWB/ShiftIn_qb32_30
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/VmeBaseAddr_6 to i_Core/i_VmeInterface/adr_o_14
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X86Y101.DQ Tcko 0.476 i_Core/i_VmeInterface/adr_o<11>
i_Core/i_VmeInterface/adr_o_11
SLICE_X87Y100.C1 net (fanout=4) 1.116 i_Core/i_VmeInterface/adr_o<11>
SLICE_X87Y100.C Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A2 net (fanout=3) 0.541 i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00434_1
SLICE_X79Y90.B3 net (fanout=1) 1.395 i_Core/i_AddressDecoderWB/_n00434
SLICE_X79Y90.B Tilo 0.259 i_Core/i_VmeInterface/ack_d
i_Core/i_AddressDecoderWB/Mmux_StbSpiMaster_o11
SLICE_X64Y76.C6 net (fanout=5) 2.102 i_Core/StbSpiMaster
SLICE_X64Y76.C Tilo 0.255 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0649_inv11_1
SLICE_X64Y76.B4 net (fanout=1) 0.301 i_Core/i_SpiMasterWB/_n0649_inv11
SLICE_X64Y76.B Tilo 0.254 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X67Y75.C6 net (fanout=32) 0.609 i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X67Y75.CLK Tas 0.373 i_Core/i_SpiMasterWB/ShiftIn_qb32<30>
i_Core/i_SpiMasterWB/ShiftIn_qb32_30_rstpot
i_Core/i_SpiMasterWB/ShiftIn_qb32_30
SLICE_X126Y127.CQ Tcko 0.476 i_Core/i_VmeInterface/VmeBaseAddr<6>
i_Core/i_VmeInterface/VmeBaseAddr_6
SLICE_X126Y126.A6 net (fanout=1) 0.527 i_Core/i_VmeInterface/VmeBaseAddr<6>
SLICE_X126Y126.A Tilo 0.235 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o85
i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o86
SLICE_X85Y100.C6 net (fanout=2) 4.055 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o85
SLICE_X85Y100.C Tilo 0.259 i_Core/i_VmeInterface/as_shr<1>
i_Core/i_VmeInterface/selected
SLICE_X85Y100.D5 net (fanout=12) 0.258 i_Core/i_VmeInterface/selected
SLICE_X85Y100.DMUX Tilo 0.337 i_Core/i_VmeInterface/as_shr<1>
i_Core/i_VmeInterface/_n0350_inv1
SLICE_X84Y96.CE net (fanout=10) 0.973 i_Core/i_VmeInterface/_n0350_inv
SLICE_X84Y96.CLK Tceck 0.269 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_14
------------------------------------------------- ---------------------------
Total 8.199ns (2.135ns logic, 6.064ns route)
(26.0% logic, 74.0% route)
Total 7.389ns (1.576ns logic, 5.813ns route)
(21.3% logic, 78.7% route)
--------------------------------------------------------------------------------
Slack (setup path): 0.400ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/adr_o_9 (FF)
Destination: i_Core/i_SpiMasterWB/ShiftIn_qb32_30 (FF)
Slack (setup path): 0.993ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/VmeBaseAddr_5 (FF)
Destination: i_Core/i_VmeInterface/adr_o_14 (FF)
Requirement: 8.333ns
Data Path Delay: 7.996ns (Levels of Logic = 6)
Clock Path Skew: 0.098ns (0.989 - 0.891)
Data Path Delay: 7.328ns (Levels of Logic = 3)
Clock Path Skew: 0.023ns (0.780 - 0.757)
Source Clock: Si57x_BUFG rising at 0.000ns
Destination Clock: Si57x_BUFG rising at 8.333ns
Clock Uncertainty: 0.035ns
......@@ -377,42 +343,35 @@ Slack (setup path): 0.400ns (requirement - (data path - clock path skew + un
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/adr_o_9 to i_Core/i_SpiMasterWB/ShiftIn_qb32_30
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/VmeBaseAddr_5 to i_Core/i_VmeInterface/adr_o_14
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X87Y101.BQ Tcko 0.430 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_9
SLICE_X87Y100.C6 net (fanout=4) 0.959 i_Core/i_VmeInterface/adr_o<9>
SLICE_X87Y100.C Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A2 net (fanout=3) 0.541 i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00434_1
SLICE_X79Y90.B3 net (fanout=1) 1.395 i_Core/i_AddressDecoderWB/_n00434
SLICE_X79Y90.B Tilo 0.259 i_Core/i_VmeInterface/ack_d
i_Core/i_AddressDecoderWB/Mmux_StbSpiMaster_o11
SLICE_X64Y76.C6 net (fanout=5) 2.102 i_Core/StbSpiMaster
SLICE_X64Y76.C Tilo 0.255 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0649_inv11_1
SLICE_X64Y76.B4 net (fanout=1) 0.301 i_Core/i_SpiMasterWB/_n0649_inv11
SLICE_X64Y76.B Tilo 0.254 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X67Y75.C6 net (fanout=32) 0.609 i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X67Y75.CLK Tas 0.373 i_Core/i_SpiMasterWB/ShiftIn_qb32<30>
i_Core/i_SpiMasterWB/ShiftIn_qb32_30_rstpot
i_Core/i_SpiMasterWB/ShiftIn_qb32_30
SLICE_X126Y127.BQ Tcko 0.476 i_Core/i_VmeInterface/VmeBaseAddr<6>
i_Core/i_VmeInterface/VmeBaseAddr_5
SLICE_X126Y126.A4 net (fanout=1) 0.466 i_Core/i_VmeInterface/VmeBaseAddr<5>
SLICE_X126Y126.A Tilo 0.235 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o85
i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o86
SLICE_X85Y100.C6 net (fanout=2) 4.055 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o85
SLICE_X85Y100.C Tilo 0.259 i_Core/i_VmeInterface/as_shr<1>
i_Core/i_VmeInterface/selected
SLICE_X85Y100.D5 net (fanout=12) 0.258 i_Core/i_VmeInterface/selected
SLICE_X85Y100.DMUX Tilo 0.337 i_Core/i_VmeInterface/as_shr<1>
i_Core/i_VmeInterface/_n0350_inv1
SLICE_X84Y96.CE net (fanout=10) 0.973 i_Core/i_VmeInterface/_n0350_inv
SLICE_X84Y96.CLK Tceck 0.269 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_14
------------------------------------------------- ---------------------------
Total 7.996ns (2.089ns logic, 5.907ns route)
(26.1% logic, 73.9% route)
Total 7.328ns (1.576ns logic, 5.752ns route)
(21.5% logic, 78.5% route)
--------------------------------------------------------------------------------
Slack (setup path): 0.634ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/adr_o_6 (FF)
Destination: i_Core/i_SpiMasterWB/ShiftIn_qb32_30 (FF)
Slack (setup path): 1.086ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_VmeInterface/VmeBaseAddr_7 (FF)
Destination: i_Core/i_VmeInterface/adr_o_14 (FF)
Requirement: 8.333ns
Data Path Delay: 7.762ns (Levels of Logic = 6)
Clock Path Skew: 0.098ns (0.989 - 0.891)
Data Path Delay: 7.237ns (Levels of Logic = 3)
Clock Path Skew: 0.025ns (0.780 - 0.755)
Source Clock: Si57x_BUFG rising at 0.000ns
Destination Clock: Si57x_BUFG rising at 8.333ns
Clock Uncertainty: 0.035ns
......@@ -423,118 +382,111 @@ Slack (setup path): 0.634ns (requirement - (data path - clock path skew + un
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/adr_o_6 to i_Core/i_SpiMasterWB/ShiftIn_qb32_30
Maximum Data Path at Slow Process Corner: i_Core/i_VmeInterface/VmeBaseAddr_7 to i_Core/i_VmeInterface/adr_o_14
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X87Y101.AQ Tcko 0.430 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_6
SLICE_X87Y100.C2 net (fanout=4) 0.725 i_Core/i_VmeInterface/adr_o<6>
SLICE_X87Y100.C Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A2 net (fanout=3) 0.541 i_Core/i_AddressDecoderWB/_n00432
SLICE_X87Y100.A Tilo 0.259 i_Core/i_AddressDecoderWB/Adr_ib22[21]_GND_70_o_equal_1_o1
i_Core/i_AddressDecoderWB/_n00434_1
SLICE_X79Y90.B3 net (fanout=1) 1.395 i_Core/i_AddressDecoderWB/_n00434
SLICE_X79Y90.B Tilo 0.259 i_Core/i_VmeInterface/ack_d
i_Core/i_AddressDecoderWB/Mmux_StbSpiMaster_o11
SLICE_X64Y76.C6 net (fanout=5) 2.102 i_Core/StbSpiMaster
SLICE_X64Y76.C Tilo 0.255 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0649_inv11_1
SLICE_X64Y76.B4 net (fanout=1) 0.301 i_Core/i_SpiMasterWB/_n0649_inv11
SLICE_X64Y76.B Tilo 0.254 i_Core/i_SpiMasterWB/ShiftIn_qb32<31>
i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X67Y75.C6 net (fanout=32) 0.609 i_Core/i_SpiMasterWB/_n0817_inv
SLICE_X67Y75.CLK Tas 0.373 i_Core/i_SpiMasterWB/ShiftIn_qb32<30>
i_Core/i_SpiMasterWB/ShiftIn_qb32_30_rstpot
i_Core/i_SpiMasterWB/ShiftIn_qb32_30
SLICE_X126Y125.DQ Tcko 0.476 i_Core/i_VmeInterface/VmeBaseAddr<7>
i_Core/i_VmeInterface/VmeBaseAddr_7
SLICE_X126Y126.A5 net (fanout=1) 0.375 i_Core/i_VmeInterface/VmeBaseAddr<7>
SLICE_X126Y126.A Tilo 0.235 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o85
i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o86
SLICE_X85Y100.C6 net (fanout=2) 4.055 i_Core/i_VmeInterface/VmeBaseAddr[7]_GND_36_o_equal_12_o85
SLICE_X85Y100.C Tilo 0.259 i_Core/i_VmeInterface/as_shr<1>
i_Core/i_VmeInterface/selected
SLICE_X85Y100.D5 net (fanout=12) 0.258 i_Core/i_VmeInterface/selected
SLICE_X85Y100.DMUX Tilo 0.337 i_Core/i_VmeInterface/as_shr<1>
i_Core/i_VmeInterface/_n0350_inv1
SLICE_X84Y96.CE net (fanout=10) 0.973 i_Core/i_VmeInterface/_n0350_inv
SLICE_X84Y96.CLK Tceck 0.269 i_Core/i_VmeInterface/adr_o<15>
i_Core/i_VmeInterface/adr_o_14
------------------------------------------------- ---------------------------
Total 7.762ns (2.089ns logic, 5.673ns route)
(26.9% logic, 73.1% route)
Total 7.237ns (1.576ns logic, 5.661ns route)
(21.8% logic, 78.2% route)
--------------------------------------------------------------------------------
Hold Paths: TS_Si57x_ik = PERIOD TIMEGRP "Si57x_ik" 120 MHz HIGH 50%;
--------------------------------------------------------------------------------
Paths for end point i_Core/i_InterruptManager/Mram_int_fifo1_RAMA (SLICE_X84Y89.D3), 1 path
Paths for end point i_Core/i_InterruptManager/Mram_int_fifo1_RAMA (SLICE_X76Y88.D2), 1 path
--------------------------------------------------------------------------------
Slack (hold path): 0.321ns (requirement - (clock path skew + uncertainty - data path))
Source: i_Core/i_InterruptManager/int_pointer_w_2 (FF)
Slack (hold path): 0.347ns (requirement - (clock path skew + uncertainty - data path))
Source: i_Core/i_InterruptManager/int_pointer_w_1 (FF)
Destination: i_Core/i_InterruptManager/Mram_int_fifo1_RAMA (RAM)
Requirement: 0.000ns
Data Path Delay: 0.325ns (Levels of Logic = 0)
Clock Path Skew: 0.004ns (0.069 - 0.065)
Data Path Delay: 0.352ns (Levels of Logic = 0)
Clock Path Skew: 0.005ns (0.068 - 0.063)
Source Clock: Si57x_BUFG rising at 0.000ns
Destination Clock: Si57x_BUFG rising at 8.333ns
Clock Uncertainty: 0.000ns
Minimum Data Path at Fast Process Corner: i_Core/i_InterruptManager/int_pointer_w_2 to i_Core/i_InterruptManager/Mram_int_fifo1_RAMA
Minimum Data Path at Fast Process Corner: i_Core/i_InterruptManager/int_pointer_w_1 to i_Core/i_InterruptManager/Mram_int_fifo1_RAMA
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X83Y89.BQ Tcko 0.198 i_Core/i_InterruptManager/int_pointer_w<2>
i_Core/i_InterruptManager/int_pointer_w_2
SLICE_X84Y89.D3 net (fanout=8) 0.299 i_Core/i_InterruptManager/int_pointer_w<2>
SLICE_X84Y89.CLK Tah (-Th) 0.172 i_Core/i_InterruptManager/_n0165<5>
SLICE_X78Y88.BMUX Tshcko 0.238 i_Core/i_InterruptManager/int_pointer_w<2>
i_Core/i_InterruptManager/int_pointer_w_1
SLICE_X76Y88.D2 net (fanout=8) 0.409 i_Core/i_InterruptManager/int_pointer_w<1>
SLICE_X76Y88.CLK Tah (-Th) 0.295 i_Core/i_InterruptManager/_n0165<5>
i_Core/i_InterruptManager/Mram_int_fifo1_RAMA
------------------------------------------------- ---------------------------
Total 0.325ns (0.026ns logic, 0.299ns route)
(8.0% logic, 92.0% route)
Total 0.352ns (-0.057ns logic, 0.409ns route)
(-16.2% logic, 116.2% route)
--------------------------------------------------------------------------------
Paths for end point i_Core/i_InterruptManager/Mram_int_fifo1_RAMA_D1 (SLICE_X84Y89.D3), 1 path
Paths for end point i_Core/i_InterruptManager/Mram_int_fifo1_RAMA_D1 (SLICE_X76Y88.D2), 1 path
--------------------------------------------------------------------------------
Slack (hold path): 0.321ns (requirement - (clock path skew + uncertainty - data path))
Source: i_Core/i_InterruptManager/int_pointer_w_2 (FF)
Slack (hold path): 0.347ns (requirement - (clock path skew + uncertainty - data path))
Source: i_Core/i_InterruptManager/int_pointer_w_1 (FF)
Destination: i_Core/i_InterruptManager/Mram_int_fifo1_RAMA_D1 (RAM)
Requirement: 0.000ns
Data Path Delay: 0.325ns (Levels of Logic = 0)
Clock Path Skew: 0.004ns (0.069 - 0.065)
Data Path Delay: 0.352ns (Levels of Logic = 0)
Clock Path Skew: 0.005ns (0.068 - 0.063)
Source Clock: Si57x_BUFG rising at 0.000ns
Destination Clock: Si57x_BUFG rising at 8.333ns
Clock Uncertainty: 0.000ns
Minimum Data Path at Fast Process Corner: i_Core/i_InterruptManager/int_pointer_w_2 to i_Core/i_InterruptManager/Mram_int_fifo1_RAMA_D1
Minimum Data Path at Fast Process Corner: i_Core/i_InterruptManager/int_pointer_w_1 to i_Core/i_InterruptManager/Mram_int_fifo1_RAMA_D1
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X83Y89.BQ Tcko 0.198 i_Core/i_InterruptManager/int_pointer_w<2>
i_Core/i_InterruptManager/int_pointer_w_2
SLICE_X84Y89.D3 net (fanout=8) 0.299 i_Core/i_InterruptManager/int_pointer_w<2>
SLICE_X84Y89.CLK Tah (-Th) 0.172 i_Core/i_InterruptManager/_n0165<5>
SLICE_X78Y88.BMUX Tshcko 0.238 i_Core/i_InterruptManager/int_pointer_w<2>
i_Core/i_InterruptManager/int_pointer_w_1
SLICE_X76Y88.D2 net (fanout=8) 0.409 i_Core/i_InterruptManager/int_pointer_w<1>
SLICE_X76Y88.CLK Tah (-Th) 0.295 i_Core/i_InterruptManager/_n0165<5>
i_Core/i_InterruptManager/Mram_int_fifo1_RAMA_D1
------------------------------------------------- ---------------------------
Total 0.325ns (0.026ns logic, 0.299ns route)
(8.0% logic, 92.0% route)
Total 0.352ns (-0.057ns logic, 0.409ns route)
(-16.2% logic, 116.2% route)
--------------------------------------------------------------------------------
Paths for end point i_Core/i_InterruptManager/Mram_int_fifo1_RAMB (SLICE_X84Y89.D3), 1 path
Paths for end point i_Core/i_InterruptManager/Mram_int_fifo1_RAMB (SLICE_X76Y88.D2), 1 path
--------------------------------------------------------------------------------
Slack (hold path): 0.321ns (requirement - (clock path skew + uncertainty - data path))
Source: i_Core/i_InterruptManager/int_pointer_w_2 (FF)
Slack (hold path): 0.347ns (requirement - (clock path skew + uncertainty - data path))
Source: i_Core/i_InterruptManager/int_pointer_w_1 (FF)
Destination: i_Core/i_InterruptManager/Mram_int_fifo1_RAMB (RAM)
Requirement: 0.000ns
Data Path Delay: 0.325ns (Levels of Logic = 0)
Clock Path Skew: 0.004ns (0.069 - 0.065)
Data Path Delay: 0.352ns (Levels of Logic = 0)
Clock Path Skew: 0.005ns (0.068 - 0.063)
Source Clock: Si57x_BUFG rising at 0.000ns
Destination Clock: Si57x_BUFG rising at 8.333ns
Clock Uncertainty: 0.000ns
Minimum Data Path at Fast Process Corner: i_Core/i_InterruptManager/int_pointer_w_2 to i_Core/i_InterruptManager/Mram_int_fifo1_RAMB
Minimum Data Path at Fast Process Corner: i_Core/i_InterruptManager/int_pointer_w_1 to i_Core/i_InterruptManager/Mram_int_fifo1_RAMB
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X83Y89.BQ Tcko 0.198 i_Core/i_InterruptManager/int_pointer_w<2>
i_Core/i_InterruptManager/int_pointer_w_2
SLICE_X84Y89.D3 net (fanout=8) 0.299 i_Core/i_InterruptManager/int_pointer_w<2>
SLICE_X84Y89.CLK Tah (-Th) 0.172 i_Core/i_InterruptManager/_n0165<5>
SLICE_X78Y88.BMUX Tshcko 0.238 i_Core/i_InterruptManager/int_pointer_w<2>
i_Core/i_InterruptManager/int_pointer_w_1
SLICE_X76Y88.D2 net (fanout=8) 0.409 i_Core/i_InterruptManager/int_pointer_w<1>
SLICE_X76Y88.CLK Tah (-Th) 0.295 i_Core/i_InterruptManager/_n0165<5>
i_Core/i_InterruptManager/Mram_int_fifo1_RAMB
------------------------------------------------- ---------------------------
Total 0.325ns (0.026ns logic, 0.299ns route)
(8.0% logic, 92.0% route)
Total 0.352ns (-0.057ns logic, 0.409ns route)
(-16.2% logic, 116.2% route)
--------------------------------------------------------------------------------
......@@ -553,7 +505,7 @@ Slack: 6.934ns (period - min period limit)
Min period limit: 1.399ns (714.796MHz) (Tcp)
Physical resource: i_Core/i_Debouncer/BouncingSignal_x<2>/CLK
Logical resource: i_Core/i_Debouncer/Mshreg_BouncingSignal_x_2/CLK
Location pin: SLICE_X22Y33.CLK
Location pin: SLICE_X26Y34.CLK
Clock network: Si57x_BUFG
--------------------------------------------------------------------------------
Slack: 6.934ns (period - min period limit)
......@@ -561,10 +513,337 @@ Slack: 6.934ns (period - min period limit)
Min period limit: 1.399ns (714.796MHz) (Tcp)
Physical resource: i_Core/VmeSysReset_dx<1>/CLK
Logical resource: i_Core/i_Slv2SerWB/Mshreg_AckI_xb3_0/CLK
Location pin: SLICE_X72Y108.CLK
Location pin: SLICE_X68Y102.CLK
Clock network: Si57x_BUFG
--------------------------------------------------------------------------------
================================================================================
Timing constraint: TS_SysAppClk_ik = PERIOD TIMEGRP "SysAppClk_ik" 120 MHz HIGH
50%;
129 paths analyzed, 97 endpoints analyzed, 0 failing endpoints
0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors)
Minimum period is 2.874ns.
--------------------------------------------------------------------------------
Paths for end point i_Core/i_Slv2SerWB/Dat_xb32_28 (SLICE_X68Y90.CE), 2 paths
--------------------------------------------------------------------------------
Slack (setup path): 5.459ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_Slv2SerWB/AckI_d3_2 (FF)
Destination: i_Core/i_Slv2SerWB/Dat_xb32_28 (FF)
Requirement: 8.333ns
Data Path Delay: 2.819ns (Levels of Logic = 1)
Clock Path Skew: -0.020ns (0.249 - 0.269)
Source Clock: SysAppClk_ik_BUFGP rising at 0.000ns
Destination Clock: SysAppClk_ik_BUFGP rising at 8.333ns
Clock Uncertainty: 0.035ns
Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
Total System Jitter (TSJ): 0.070ns
Total Input Jitter (TIJ): 0.000ns
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_Slv2SerWB/AckI_d3_2 to i_Core/i_Slv2SerWB/Dat_xb32_28
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X70Y93.CQ Tcko 0.476 i_Core/i_Slv2SerWB/AckI_d3<2>
i_Core/i_Slv2SerWB/AckI_d3_2
SLICE_X73Y93.A3 net (fanout=1) 0.559 i_Core/i_Slv2SerWB/AckI_d3<2>
SLICE_X73Y93.A Tilo 0.259 i_Core/i_Slv2SerWB/Dat_xb32<3>
i_Core/i_Slv2SerWB/NewAckI_a<2>1
SLICE_X68Y90.CE net (fanout=6) 1.212 i_Core/i_Slv2SerWB/NewAckI_a
SLICE_X68Y90.CLK Tceck 0.313 i_Core/i_Slv2SerWB/Dat_xb32<31>
i_Core/i_Slv2SerWB/Dat_xb32_28
------------------------------------------------- ---------------------------
Total 2.819ns (1.048ns logic, 1.771ns route)
(37.2% logic, 62.8% route)
--------------------------------------------------------------------------------
Slack (setup path): 5.514ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_Slv2SerWB/AckI_d3_1 (FF)
Destination: i_Core/i_Slv2SerWB/Dat_xb32_28 (FF)
Requirement: 8.333ns
Data Path Delay: 2.764ns (Levels of Logic = 1)
Clock Path Skew: -0.020ns (0.249 - 0.269)
Source Clock: SysAppClk_ik_BUFGP rising at 0.000ns
Destination Clock: SysAppClk_ik_BUFGP rising at 8.333ns
Clock Uncertainty: 0.035ns
Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
Total System Jitter (TSJ): 0.070ns
Total Input Jitter (TIJ): 0.000ns
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_Slv2SerWB/AckI_d3_1 to i_Core/i_Slv2SerWB/Dat_xb32_28
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X70Y93.BQ Tcko 0.476 i_Core/i_Slv2SerWB/AckI_d3<2>
i_Core/i_Slv2SerWB/AckI_d3_1
SLICE_X73Y93.A4 net (fanout=2) 0.504 i_Core/i_Slv2SerWB/AckI_d3<1>
SLICE_X73Y93.A Tilo 0.259 i_Core/i_Slv2SerWB/Dat_xb32<3>
i_Core/i_Slv2SerWB/NewAckI_a<2>1
SLICE_X68Y90.CE net (fanout=6) 1.212 i_Core/i_Slv2SerWB/NewAckI_a
SLICE_X68Y90.CLK Tceck 0.313 i_Core/i_Slv2SerWB/Dat_xb32<31>
i_Core/i_Slv2SerWB/Dat_xb32_28
------------------------------------------------- ---------------------------
Total 2.764ns (1.048ns logic, 1.716ns route)
(37.9% logic, 62.1% route)
--------------------------------------------------------------------------------
Paths for end point i_Core/i_Slv2SerWB/Dat_xb32_30 (SLICE_X68Y90.CE), 2 paths
--------------------------------------------------------------------------------
Slack (setup path): 5.503ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_Slv2SerWB/AckI_d3_2 (FF)
Destination: i_Core/i_Slv2SerWB/Dat_xb32_30 (FF)
Requirement: 8.333ns
Data Path Delay: 2.775ns (Levels of Logic = 1)
Clock Path Skew: -0.020ns (0.249 - 0.269)
Source Clock: SysAppClk_ik_BUFGP rising at 0.000ns
Destination Clock: SysAppClk_ik_BUFGP rising at 8.333ns
Clock Uncertainty: 0.035ns
Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
Total System Jitter (TSJ): 0.070ns
Total Input Jitter (TIJ): 0.000ns
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_Slv2SerWB/AckI_d3_2 to i_Core/i_Slv2SerWB/Dat_xb32_30
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X70Y93.CQ Tcko 0.476 i_Core/i_Slv2SerWB/AckI_d3<2>
i_Core/i_Slv2SerWB/AckI_d3_2
SLICE_X73Y93.A3 net (fanout=1) 0.559 i_Core/i_Slv2SerWB/AckI_d3<2>
SLICE_X73Y93.A Tilo 0.259 i_Core/i_Slv2SerWB/Dat_xb32<3>
i_Core/i_Slv2SerWB/NewAckI_a<2>1
SLICE_X68Y90.CE net (fanout=6) 1.212 i_Core/i_Slv2SerWB/NewAckI_a
SLICE_X68Y90.CLK Tceck 0.269 i_Core/i_Slv2SerWB/Dat_xb32<31>
i_Core/i_Slv2SerWB/Dat_xb32_30
------------------------------------------------- ---------------------------
Total 2.775ns (1.004ns logic, 1.771ns route)
(36.2% logic, 63.8% route)
--------------------------------------------------------------------------------
Slack (setup path): 5.558ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_Slv2SerWB/AckI_d3_1 (FF)
Destination: i_Core/i_Slv2SerWB/Dat_xb32_30 (FF)
Requirement: 8.333ns
Data Path Delay: 2.720ns (Levels of Logic = 1)
Clock Path Skew: -0.020ns (0.249 - 0.269)
Source Clock: SysAppClk_ik_BUFGP rising at 0.000ns
Destination Clock: SysAppClk_ik_BUFGP rising at 8.333ns
Clock Uncertainty: 0.035ns
Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
Total System Jitter (TSJ): 0.070ns
Total Input Jitter (TIJ): 0.000ns
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_Slv2SerWB/AckI_d3_1 to i_Core/i_Slv2SerWB/Dat_xb32_30
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X70Y93.BQ Tcko 0.476 i_Core/i_Slv2SerWB/AckI_d3<2>
i_Core/i_Slv2SerWB/AckI_d3_1
SLICE_X73Y93.A4 net (fanout=2) 0.504 i_Core/i_Slv2SerWB/AckI_d3<1>
SLICE_X73Y93.A Tilo 0.259 i_Core/i_Slv2SerWB/Dat_xb32<3>
i_Core/i_Slv2SerWB/NewAckI_a<2>1
SLICE_X68Y90.CE net (fanout=6) 1.212 i_Core/i_Slv2SerWB/NewAckI_a
SLICE_X68Y90.CLK Tceck 0.269 i_Core/i_Slv2SerWB/Dat_xb32<31>
i_Core/i_Slv2SerWB/Dat_xb32_30
------------------------------------------------- ---------------------------
Total 2.720ns (1.004ns logic, 1.716ns route)
(36.9% logic, 63.1% route)
--------------------------------------------------------------------------------
Paths for end point i_Core/i_Slv2SerWB/Dat_xb32_31 (SLICE_X68Y90.CE), 2 paths
--------------------------------------------------------------------------------
Slack (setup path): 5.506ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_Slv2SerWB/AckI_d3_2 (FF)
Destination: i_Core/i_Slv2SerWB/Dat_xb32_31 (FF)
Requirement: 8.333ns
Data Path Delay: 2.772ns (Levels of Logic = 1)
Clock Path Skew: -0.020ns (0.249 - 0.269)
Source Clock: SysAppClk_ik_BUFGP rising at 0.000ns
Destination Clock: SysAppClk_ik_BUFGP rising at 8.333ns
Clock Uncertainty: 0.035ns
Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
Total System Jitter (TSJ): 0.070ns
Total Input Jitter (TIJ): 0.000ns
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_Slv2SerWB/AckI_d3_2 to i_Core/i_Slv2SerWB/Dat_xb32_31
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X70Y93.CQ Tcko 0.476 i_Core/i_Slv2SerWB/AckI_d3<2>
i_Core/i_Slv2SerWB/AckI_d3_2
SLICE_X73Y93.A3 net (fanout=1) 0.559 i_Core/i_Slv2SerWB/AckI_d3<2>
SLICE_X73Y93.A Tilo 0.259 i_Core/i_Slv2SerWB/Dat_xb32<3>
i_Core/i_Slv2SerWB/NewAckI_a<2>1
SLICE_X68Y90.CE net (fanout=6) 1.212 i_Core/i_Slv2SerWB/NewAckI_a
SLICE_X68Y90.CLK Tceck 0.266 i_Core/i_Slv2SerWB/Dat_xb32<31>
i_Core/i_Slv2SerWB/Dat_xb32_31
------------------------------------------------- ---------------------------
Total 2.772ns (1.001ns logic, 1.771ns route)
(36.1% logic, 63.9% route)
--------------------------------------------------------------------------------
Slack (setup path): 5.561ns (requirement - (data path - clock path skew + uncertainty))
Source: i_Core/i_Slv2SerWB/AckI_d3_1 (FF)
Destination: i_Core/i_Slv2SerWB/Dat_xb32_31 (FF)
Requirement: 8.333ns
Data Path Delay: 2.717ns (Levels of Logic = 1)
Clock Path Skew: -0.020ns (0.249 - 0.269)
Source Clock: SysAppClk_ik_BUFGP rising at 0.000ns
Destination Clock: SysAppClk_ik_BUFGP rising at 8.333ns
Clock Uncertainty: 0.035ns
Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
Total System Jitter (TSJ): 0.070ns
Total Input Jitter (TIJ): 0.000ns
Discrete Jitter (DJ): 0.000ns
Phase Error (PE): 0.000ns
Maximum Data Path at Slow Process Corner: i_Core/i_Slv2SerWB/AckI_d3_1 to i_Core/i_Slv2SerWB/Dat_xb32_31
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X70Y93.BQ Tcko 0.476 i_Core/i_Slv2SerWB/AckI_d3<2>
i_Core/i_Slv2SerWB/AckI_d3_1
SLICE_X73Y93.A4 net (fanout=2) 0.504 i_Core/i_Slv2SerWB/AckI_d3<1>
SLICE_X73Y93.A Tilo 0.259 i_Core/i_Slv2SerWB/Dat_xb32<3>
i_Core/i_Slv2SerWB/NewAckI_a<2>1
SLICE_X68Y90.CE net (fanout=6) 1.212 i_Core/i_Slv2SerWB/NewAckI_a
SLICE_X68Y90.CLK Tceck 0.266 i_Core/i_Slv2SerWB/Dat_xb32<31>
i_Core/i_Slv2SerWB/Dat_xb32_31
------------------------------------------------- ---------------------------
Total 2.717ns (1.001ns logic, 1.716ns route)
(36.8% logic, 63.2% route)
--------------------------------------------------------------------------------
Hold Paths: TS_SysAppClk_ik = PERIOD TIMEGRP "SysAppClk_ik" 120 MHz HIGH 50%;
--------------------------------------------------------------------------------
Paths for end point i_Core/i_Slv2SerWB/DatInShReg_b32_19 (SLICE_X72Y95.DX), 1 path
--------------------------------------------------------------------------------
Slack (hold path): 0.459ns (requirement - (clock path skew + uncertainty - data path))
Source: i_Core/i_Slv2SerWB/DatInShReg_b32_20 (FF)
Destination: i_Core/i_Slv2SerWB/DatInShReg_b32_19 (FF)
Requirement: 0.000ns
Data Path Delay: 0.462ns (Levels of Logic = 0)
Clock Path Skew: 0.003ns (0.045 - 0.042)
Source Clock: SysAppClk_ik_BUFGP rising at 0.000ns
Destination Clock: SysAppClk_ik_BUFGP rising at 8.333ns
Clock Uncertainty: 0.000ns
Minimum Data Path at Fast Process Corner: i_Core/i_Slv2SerWB/DatInShReg_b32_20 to i_Core/i_Slv2SerWB/DatInShReg_b32_19
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X73Y94.AQ Tcko 0.198 i_Core/i_Slv2SerWB/DatInShReg_b32<23>
i_Core/i_Slv2SerWB/DatInShReg_b32_20
SLICE_X72Y95.DX net (fanout=2) 0.223 i_Core/i_Slv2SerWB/DatInShReg_b32<20>
SLICE_X72Y95.CLK Tckdi (-Th) -0.041 i_Core/i_Slv2SerWB/DatInShReg_b32<19>
i_Core/i_Slv2SerWB/DatInShReg_b32_19
------------------------------------------------- ---------------------------
Total 0.462ns (0.239ns logic, 0.223ns route)
(51.7% logic, 48.3% route)
--------------------------------------------------------------------------------
Paths for end point i_Core/i_Slv2SerWB/DatInShReg_b32_11 (SLICE_X76Y94.DX), 1 path
--------------------------------------------------------------------------------
Slack (hold path): 0.468ns (requirement - (clock path skew + uncertainty - data path))
Source: i_Core/i_Slv2SerWB/DatInShReg_b32_12 (FF)
Destination: i_Core/i_Slv2SerWB/DatInShReg_b32_11 (FF)
Requirement: 0.000ns
Data Path Delay: 0.472ns (Levels of Logic = 0)
Clock Path Skew: 0.004ns (0.078 - 0.074)
Source Clock: SysAppClk_ik_BUFGP rising at 0.000ns
Destination Clock: SysAppClk_ik_BUFGP rising at 8.333ns
Clock Uncertainty: 0.000ns
Minimum Data Path at Fast Process Corner: i_Core/i_Slv2SerWB/DatInShReg_b32_12 to i_Core/i_Slv2SerWB/DatInShReg_b32_11
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X78Y94.AQ Tcko 0.200 i_Core/i_Slv2SerWB/DatInShReg_b32<15>
i_Core/i_Slv2SerWB/DatInShReg_b32_12
SLICE_X76Y94.DX net (fanout=2) 0.231 i_Core/i_Slv2SerWB/DatInShReg_b32<12>
SLICE_X76Y94.CLK Tckdi (-Th) -0.041 i_Core/i_Slv2SerWB/DatInShReg_b32<11>
i_Core/i_Slv2SerWB/DatInShReg_b32_11
------------------------------------------------- ---------------------------
Total 0.472ns (0.241ns logic, 0.231ns route)
(51.1% logic, 48.9% route)
--------------------------------------------------------------------------------
Paths for end point i_Core/i_Slv2SerWB/DatInShReg_b32_27 (SLICE_X69Y91.DX), 1 path
--------------------------------------------------------------------------------
Slack (hold path): 0.470ns (requirement - (clock path skew + uncertainty - data path))
Source: i_Core/i_Slv2SerWB/DatInShReg_b32_28 (FF)
Destination: i_Core/i_Slv2SerWB/DatInShReg_b32_27 (FF)
Requirement: 0.000ns
Data Path Delay: 0.474ns (Levels of Logic = 0)
Clock Path Skew: 0.004ns (0.039 - 0.035)
Source Clock: SysAppClk_ik_BUFGP rising at 0.000ns
Destination Clock: SysAppClk_ik_BUFGP rising at 8.333ns
Clock Uncertainty: 0.000ns
Minimum Data Path at Fast Process Corner: i_Core/i_Slv2SerWB/DatInShReg_b32_28 to i_Core/i_Slv2SerWB/DatInShReg_b32_27
Location Delay type Delay(ns) Physical Resource
Logical Resource(s)
------------------------------------------------- -------------------
SLICE_X69Y90.AQ Tcko 0.198 i_Core/i_Slv2SerWB/DatInShReg_b32<31>
i_Core/i_Slv2SerWB/DatInShReg_b32_28
SLICE_X69Y91.DX net (fanout=2) 0.217 i_Core/i_Slv2SerWB/DatInShReg_b32<28>
SLICE_X69Y91.CLK Tckdi (-Th) -0.059 i_Core/i_Slv2SerWB/DatInShReg_b32<27>
i_Core/i_Slv2SerWB/DatInShReg_b32_27
------------------------------------------------- ---------------------------
Total 0.474ns (0.257ns logic, 0.217ns route)
(54.2% logic, 45.8% route)
--------------------------------------------------------------------------------
Component Switching Limit Checks: TS_SysAppClk_ik = PERIOD TIMEGRP "SysAppClk_ik" 120 MHz HIGH 50%;
--------------------------------------------------------------------------------
Slack: 5.833ns (period - min period limit)
Period: 8.333ns
Min period limit: 2.500ns (400.000MHz) (Tbcper_I)
Physical resource: SysAppClk_ik_BUFGP/BUFG/I0
Logical resource: SysAppClk_ik_BUFGP/BUFG/I0
Location pin: BUFGMUX_X3Y14.I0
Clock network: SysAppClk_ik_BUFGP/IBUFG
--------------------------------------------------------------------------------
Slack: 7.853ns (period - min period limit)
Period: 8.333ns
Min period limit: 0.480ns (2083.333MHz) (Tcp)
Physical resource: i_Core/i_Slv2SerWB/Dat_xb32<31>/CLK
Logical resource: i_Core/i_Slv2SerWB/Dat_xb32_28/CK
Location pin: SLICE_X68Y90.CLK
Clock network: SysAppClk_ik_BUFGP
--------------------------------------------------------------------------------
Slack: 7.853ns (period - min period limit)
Period: 8.333ns
Min period limit: 0.480ns (2083.333MHz) (Tcp)
Physical resource: i_Core/i_Slv2SerWB/Dat_xb32<31>/CLK
Logical resource: i_Core/i_Slv2SerWB/Dat_xb32_29/CK
Location pin: SLICE_X68Y90.CLK
Clock network: SysAppClk_ik_BUFGP
--------------------------------------------------------------------------------
All constraints were met.
......@@ -578,8 +857,8 @@ Clock to Setup on destination clock Si57x_ik
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
Si57x_ik | 8.144| | | |
Si57x_ikn | 8.144| | | |
Si57x_ik | 7.934| | | |
Si57x_ikn | 7.934| | | |
---------------+---------+---------+---------+---------+
Clock to Setup on destination clock Si57x_ikn
......@@ -587,8 +866,16 @@ Clock to Setup on destination clock Si57x_ikn
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
Si57x_ik | 8.144| | | |
Si57x_ikn | 8.144| | | |
Si57x_ik | 7.934| | | |
Si57x_ikn | 7.934| | | |
---------------+---------+---------+---------+---------+
Clock to Setup on destination clock SysAppClk_ik
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
SysAppClk_ik | 2.874| | | |
---------------+---------+---------+---------+---------+
......@@ -597,16 +884,16 @@ Timing summary:
Timing errors: 0 Score: 0 (Setup/Max: 0, Hold: 0)
Constraints cover 44725 paths, 0 nets, and 4167 connections
Constraints cover 44854 paths, 0 nets, and 4258 connections
Design statistics:
Minimum period: 8.144ns{1} (Maximum frequency: 122.790MHz)
Minimum period: 7.934ns{1} (Maximum frequency: 126.040MHz)
------------------------------------Footnotes-----------------------------------
1) The minimum period statistic assumes all single cycle delays.
Analysis completed Thu Dec 16 18:44:35 2010
Analysis completed Fri Dec 17 10:03:01 2010
--------------------------------------------------------------------------------
Trace Settings:
......
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -696,3 +696,6 @@ NET "VmeSysClk_ik" CLOCK_DEDICATED_ROUTE = "FALSE";
#Created by Constraints Editor (xc6slx150t-fgg676-3) - 2010/12/16
NET "Si57x_ik" TNM_NET = "Si57x_ik";
TIMESPEC TS_Si57x_ik = PERIOD "Si57x_ik" 120 MHz HIGH 50 %;
#Created by Constraints Editor (xc6slx150t-fgg676-3) - 2010/12/17
NET "SysAppClk_ik" TNM_NET = SysAppClk_ik;
TIMESPEC TS_SysAppClk_ik = PERIOD "SysAppClk_ik" 120 MHz HIGH 50%;
Release 12.3 - par M.70d (nt)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
Thu Dec 16 18:44:10 2010
Fri Dec 17 10:02:34 2010
All signals are completely routed.
......
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -10,7 +10,7 @@ Target Device : xc6slx150t
Target Package : fgg676
Target Speed : -3
Mapper Version : spartan6 -- $Revision: 1.52 $
Mapped Date : Thu Dec 16 18:41:28 2010
Mapped Date : Fri Dec 17 09:59:57 2010
Mapping design into LUTs...
WARNING:MapLib:701 - Signal PllFmc12SFpga_ik connected to top level port
......@@ -79,6 +79,8 @@ WARNING:MapLib:701 - Signal Fmc1SDa_io connected to top level port Fmc1SDa_io
has been removed.
WARNING:MapLib:701 - Signal Fmc2SDa_io connected to top level port Fmc2SDa_io
has been removed.
WARNING:MapLib:701 - Signal Si57xOe_o connected to top level port Si57xOe_o has
been removed.
WARNING:MapLib:701 - Signal AFpgaProgProgram_o connected to top level port
AFpgaProgProgram_o has been removed.
WARNING:MapLib:701 - Signal VAdjInhibit_ozn connected to top level port
......@@ -89,20 +91,20 @@ Updating timing models...
INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
(.mrp).
Running timing-driven placement...
Total REAL time at the beginning of Placer: 28 secs
Total REAL time at the beginning of Placer: 26 secs
Total CPU time at the beginning of Placer: 26 secs
Phase 1.1 Initial Placement Analysis
Phase 1.1 Initial Placement Analysis (Checksum:fbd9bf1c) REAL time: 36 secs
Phase 1.1 Initial Placement Analysis (Checksum:f1e9a8bb) REAL time: 34 secs
Phase 2.7 Design Feasibility Check
INFO:Place:834 - Only a subset of IOs are locked. Out of 330 IOs, 328 are locked
INFO:Place:834 - Only a subset of IOs are locked. Out of 329 IOs, 327 are locked
and 2 are not locked. If you would like to print the names of these IOs,
please set the environment variable XIL_PAR_DESIGN_CHECK_VERBOSE to 1.
Phase 2.7 Design Feasibility Check (Checksum:fbd9bf1c) REAL time: 36 secs
Phase 2.7 Design Feasibility Check (Checksum:f1e9a8bb) REAL time: 34 secs
Phase 3.31 Local Placement Optimization
Phase 3.31 Local Placement Optimization (Checksum:fbd9bf1c) REAL time: 36 secs
Phase 3.31 Local Placement Optimization (Checksum:f1e9a8bb) REAL time: 34 secs
Phase 4.2 Initial Placement for Architecture Specific Features
...
......@@ -120,42 +122,42 @@ WARNING:Place:1109 - A clock IOB / BUFGMUX clock component pair have been found
discouraged as it may lead to very poor timing results. It is recommended
that this error condition be corrected in the design.
Phase 4.2 Initial Placement for Architecture Specific Features
(Checksum:b9a90c54) REAL time: 50 secs
(Checksum:2c046bcb) REAL time: 47 secs
Phase 5.36 Local Placement Optimization
Phase 5.36 Local Placement Optimization (Checksum:b9a90c54) REAL time: 50 secs
Phase 5.36 Local Placement Optimization (Checksum:2c046bcb) REAL time: 47 secs
Phase 6.30 Global Clock Region Assignment
Phase 6.30 Global Clock Region Assignment (Checksum:b9a90c54) REAL time: 50 secs
Phase 6.30 Global Clock Region Assignment (Checksum:2c046bcb) REAL time: 47 secs
Phase 7.3 Local Placement Optimization
...
Phase 7.3 Local Placement Optimization (Checksum:5a4374ed) REAL time: 52 secs
Phase 7.3 Local Placement Optimization (Checksum:e20e3683) REAL time: 48 secs
Phase 8.5 Local Placement Optimization
Phase 8.5 Local Placement Optimization (Checksum:b9b9c566) REAL time: 52 secs
Phase 8.5 Local Placement Optimization (Checksum:2c14b62b) REAL time: 49 secs
Phase 9.8 Global Placement
...........
.............
................
..............................
.......................
.....
Phase 9.8 Global Placement (Checksum:1b243f66) REAL time: 1 mins 3 secs
Phase 9.8 Global Placement (Checksum:ae7774fb) REAL time: 1 mins
Phase 10.5 Local Placement Optimization
Phase 10.5 Local Placement Optimization (Checksum:1b243f66) REAL time: 1 mins 3 secs
Phase 10.5 Local Placement Optimization (Checksum:ae7774fb) REAL time: 1 mins 1 secs
Phase 11.18 Placement Optimization
Phase 11.18 Placement Optimization (Checksum:3389a4d6) REAL time: 1 mins 18 secs
Phase 11.18 Placement Optimization (Checksum:fe895bce) REAL time: 1 mins 14 secs
Phase 12.5 Local Placement Optimization
Phase 12.5 Local Placement Optimization (Checksum:3389a4d6) REAL time: 1 mins 18 secs
Phase 12.5 Local Placement Optimization (Checksum:fe895bce) REAL time: 1 mins 14 secs
Phase 13.34 Placement Validation
Phase 13.34 Placement Validation (Checksum:bed3755b) REAL time: 1 mins 19 secs
Phase 13.34 Placement Validation (Checksum:b38174ec) REAL time: 1 mins 14 secs
Total REAL time to Placer completion: 1 mins 28 secs
Total CPU time to Placer completion: 1 mins 24 secs
Total REAL time to Placer completion: 1 mins 23 secs
Total CPU time to Placer completion: 1 mins 22 secs
Running post-placement packing...
Writing output files...
WARNING:PhysDesignRules:367 - The signal <VmeDs_inb2<1>_IBUF> is incomplete. The
......@@ -259,18 +261,18 @@ Design Summary
Design Summary:
Number of errors: 0
Number of warnings: 83
Number of warnings: 84
Slice Logic Utilization:
Number of Slice Registers: 796 out of 184,304 1%
Number used as Flip Flops: 796
Number used as Latches: 0
Number used as Latch-thrus: 0
Number used as AND/OR logics: 0
Number of Slice LUTs: 917 out of 92,152 1%
Number used as logic: 891 out of 92,152 1%
Number using O6 output only: 571
Number of Slice LUTs: 941 out of 92,152 1%
Number used as logic: 899 out of 92,152 1%
Number using O6 output only: 587
Number using O5 output only: 154
Number using O5 and O6: 166
Number using O5 and O6: 158
Number used as ROM: 0
Number used as Memory: 11 out of 21,680 1%
Number used as Dual Port RAM: 8
......@@ -282,17 +284,17 @@ Slice Logic Utilization:
Number using O6 output only: 3
Number using O5 output only: 0
Number using O5 and O6: 0
Number used exclusively as route-thrus: 15
Number with same-slice register load: 6
Number used exclusively as route-thrus: 31
Number with same-slice register load: 22
Number with same-slice carry load: 9
Number with other load: 0
Slice Logic Distribution:
Number of occupied Slices: 371 out of 23,038 1%
Number of LUT Flip Flop pairs used: 1,113
Number with an unused Flip Flop: 382 out of 1,113 34%
Number with an unused LUT: 196 out of 1,113 17%
Number of fully used LUT-FF pairs: 535 out of 1,113 48%
Number of occupied Slices: 363 out of 23,038 1%
Number of LUT Flip Flop pairs used: 1,084
Number with an unused Flip Flop: 369 out of 1,084 34%
Number with an unused LUT: 143 out of 1,084 13%
Number of fully used LUT-FF pairs: 572 out of 1,084 52%
Number of unique control sets: 32
Number of slice register sites lost
to control set restrictions: 85 out of 184,304 1%
......@@ -304,8 +306,8 @@ Slice Logic Distribution:
over-mapped for a non-slice resource or if Placement fails.
IO Utilization:
Number of bonded IOBs: 330 out of 396 83%
Number of LOCed IOBs: 328 out of 330 99%
Number of bonded IOBs: 329 out of 396 83%
Number of LOCed IOBs: 327 out of 329 99%
IOB Master Pads: 2
IOB Slave Pads: 2
......@@ -336,11 +338,11 @@ Specific Feature Utilization:
Number of STARTUPs: 0 out of 1 0%
Number of SUSPEND_SYNCs: 0 out of 1 0%
Average Fanout of Non-Clock Nets: 3.02
Average Fanout of Non-Clock Nets: 3.03
Peak Memory Usage: 406 MB
Total REAL time to MAP completion: 1 mins 31 secs
Total CPU time to MAP completion: 1 mins 28 secs
Total REAL time to MAP completion: 1 mins 26 secs
Total CPU time to MAP completion: 1 mins 25 secs
Mapping completed.
See MAP report file "SFpga_map.mrp" for details.
......@@ -10,23 +10,23 @@ Target Device : xc6slx150t
Target Package : fgg676
Target Speed : -3
Mapper Version : spartan6 -- $Revision: 1.52 $
Mapped Date : Thu Dec 16 18:41:28 2010
Mapped Date : Fri Dec 17 09:59:57 2010
Design Summary
--------------
Number of errors: 0
Number of warnings: 83
Number of warnings: 84
Slice Logic Utilization:
Number of Slice Registers: 796 out of 184,304 1%
Number used as Flip Flops: 796
Number used as Latches: 0
Number used as Latch-thrus: 0
Number used as AND/OR logics: 0
Number of Slice LUTs: 917 out of 92,152 1%
Number used as logic: 891 out of 92,152 1%
Number using O6 output only: 571
Number of Slice LUTs: 941 out of 92,152 1%
Number used as logic: 899 out of 92,152 1%
Number using O6 output only: 587
Number using O5 output only: 154
Number using O5 and O6: 166
Number using O5 and O6: 158
Number used as ROM: 0
Number used as Memory: 11 out of 21,680 1%
Number used as Dual Port RAM: 8
......@@ -38,17 +38,17 @@ Slice Logic Utilization:
Number using O6 output only: 3
Number using O5 output only: 0
Number using O5 and O6: 0
Number used exclusively as route-thrus: 15
Number with same-slice register load: 6
Number used exclusively as route-thrus: 31
Number with same-slice register load: 22
Number with same-slice carry load: 9
Number with other load: 0
Slice Logic Distribution:
Number of occupied Slices: 371 out of 23,038 1%
Number of LUT Flip Flop pairs used: 1,113
Number with an unused Flip Flop: 382 out of 1,113 34%
Number with an unused LUT: 196 out of 1,113 17%
Number of fully used LUT-FF pairs: 535 out of 1,113 48%
Number of occupied Slices: 363 out of 23,038 1%
Number of LUT Flip Flop pairs used: 1,084
Number with an unused Flip Flop: 369 out of 1,084 34%
Number with an unused LUT: 143 out of 1,084 13%
Number of fully used LUT-FF pairs: 572 out of 1,084 52%
Number of unique control sets: 32
Number of slice register sites lost
to control set restrictions: 85 out of 184,304 1%
......@@ -60,8 +60,8 @@ Slice Logic Distribution:
over-mapped for a non-slice resource or if Placement fails.
IO Utilization:
Number of bonded IOBs: 330 out of 396 83%
Number of LOCed IOBs: 328 out of 330 99%
Number of bonded IOBs: 329 out of 396 83%
Number of LOCed IOBs: 327 out of 329 99%
IOB Master Pads: 2
IOB Slave Pads: 2
......@@ -92,11 +92,11 @@ Specific Feature Utilization:
Number of STARTUPs: 0 out of 1 0%
Number of SUSPEND_SYNCs: 0 out of 1 0%
Average Fanout of Non-Clock Nets: 3.02
Average Fanout of Non-Clock Nets: 3.03
Peak Memory Usage: 406 MB
Total REAL time to MAP completion: 1 mins 31 secs
Total CPU time to MAP completion: 1 mins 28 secs
Total REAL time to MAP completion: 1 mins 26 secs
Total CPU time to MAP completion: 1 mins 25 secs
Table of Contents
-----------------
......@@ -185,6 +185,8 @@ WARNING:MapLib:701 - Signal Fmc1SDa_io connected to top level port Fmc1SDa_io
has been removed.
WARNING:MapLib:701 - Signal Fmc2SDa_io connected to top level port Fmc2SDa_io
has been removed.
WARNING:MapLib:701 - Signal Si57xOe_o connected to top level port Si57xOe_o has
been removed.
WARNING:MapLib:701 - Signal AFpgaProgProgram_o connected to top level port
AFpgaProgProgram_o has been removed.
WARNING:MapLib:701 - Signal VAdjInhibit_ozn connected to top level port
......@@ -318,16 +320,16 @@ INFO:Pack:1720 - Initializing voltage to 1.140 Volts. (default - Range: 1.140 to
1.260 Volts)
INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
(.mrp).
INFO:Place:834 - Only a subset of IOs are locked. Out of 330 IOs, 328 are locked
INFO:Place:834 - Only a subset of IOs are locked. Out of 329 IOs, 327 are locked
and 2 are not locked. If you would like to print the names of these IOs,
please set the environment variable XIL_PAR_DESIGN_CHECK_VERBOSE to 1.
INFO:Pack:1650 - Map created a placed design.
Section 4 - Removed Logic Summary
---------------------------------
67 block(s) removed
69 block(s) removed
2 block(s) optimized away
37 signal(s) removed
38 signal(s) removed
Section 5 - Removed Logic
-------------------------
......@@ -409,6 +411,8 @@ The signal "DdrDQ_iob16<0>" is unused and has been removed.
Unused block "DdrDQ_iob16_0_OBUFT" (TRI) removed.
The signal "Si57xSDa_io" is unused and has been removed.
Unused block "Si57xSDa_io_OBUFT" (TRI) removed.
The signal "Si57xOe_o" is unused and has been removed.
Unused block "Si57xOe_o_OBUFT" (TRI) removed.
The signal "AFpgaProgDone_io" is unused and has been removed.
Unused block "AFpgaProgDone_io_OBUFT" (TRI) removed.
The signal "AFpgaProgProgram_o" is unused and has been removed.
......@@ -447,6 +451,7 @@ Unused block "DdsIOUpdate_io" (PAD) removed.
Unused block "Fmc1SDa_io" (PAD) removed.
Unused block "Fmc2SDa_io" (PAD) removed.
Unused block "Sfp2ModeDef2_io" (PAD) removed.
Unused block "Si57xOe_o" (PAD) removed.
Unused block "Si57xSDa_io" (PAD) removed.
Unused block "VAdjInhibit_ozn" (PAD) removed.
Unused block "WRModeDef2_io" (PAD) removed.
......@@ -652,7 +657,6 @@ Section 6 - IOB Properties
| Sfp2RateSelect | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | |
| Sfp2TxDisable_o | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | |
| Sfp2TxFault_i | IOB | INPUT | LVCMOS33 | | | | | | |
| Si57xOe_o | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | |
| Si57xSCl_ok | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | |
| Si57x_ik | IOB | INPUT | LVDS_33 | TRUE | | | | | |
| Si57x_ikn | IOB | INPUT | LVDS_33 | TRUE | | | | | |
......
This source diff could not be displayed because it is too large. You can view the blob instead.
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="Map" timeStamp="Thu Dec 16 18:42:59 2010">
<application stringID="Map" timeStamp="Fri Dec 17 10:01:24 2010">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
......@@ -74,10 +74,10 @@
<item dataType="int" stringID="MAP_NUM_SLICE_LATCHTHRU" value="0"/>
<item dataType="int" stringID="MAP_NUM_SLICE_LATCHLOGIC" value="0"/>
</item>
<item AVAILABLE="92152" dataType="int" label="Number of Slice LUTs" stringID="MAP_SLICE_LUTS" value="911">
<item AVAILABLE="92152" dataType="int" label="Number of Slice LUTs" stringID="MAP_SLICE_LUTS" value="919">
<item dataType="int" label="Number using O5 output only" stringID="MAP_NUM_LOGIC_O5ONLY" value="154"/>
<item dataType="int" label="Number using O6 output only" stringID="MAP_NUM_LOGIC_O6ONLY" value="571"/>
<item dataType="int" label="Number using O5 and O6" stringID="MAP_NUM_LOGIC_O5ANDO6" value="166"/>
<item dataType="int" label="Number using O6 output only" stringID="MAP_NUM_LOGIC_O6ONLY" value="587"/>
<item dataType="int" label="Number using O5 and O6" stringID="MAP_NUM_LOGIC_O5ANDO6" value="158"/>
<item dataType="int" stringID="MAP_NUM_ROM_O5ONLY" value="0"/>
<item dataType="int" stringID="MAP_NUM_ROM_O6ONLY" value="0"/>
<item dataType="int" stringID="MAP_NUM_ROM_O5ANDO6" value="0"/>
......@@ -97,7 +97,7 @@
<item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_CARRY4" value="9"/>
<item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_OTHERS" value="0"/>
</item>
<item AVAILABLE="396" dataType="int" stringID="MAP_AGG_BONDED_IO" value="330"/>
<item AVAILABLE="396" dataType="int" stringID="MAP_AGG_BONDED_IO" value="329"/>
<item AVAILABLE="180" dataType="int" stringID="MAP_AGG_UNBONDED_IO" value="0"/>
<item AVAILABLE="0" dataType="int" label="IOB Flip Flops" stringID="MAP_NUM_IOB_FF" value="0"/>
<item AVAILABLE="0" dataType="int" stringID="MAP_NUM_IOB_LATCH" value="0"/>
......@@ -119,10 +119,10 @@
<section stringID="MAP_DESIGN_SUMMARY">
<item dataType="int" stringID="MAP_NUM_ERRORS" value="0"/>
<item dataType="int" stringID="MAP_FILTERED_WARNINGS" value="0"/>
<item dataType="int" stringID="MAP_NUM_WARNINGS" value="83"/>
<item dataType="int" stringID="MAP_NUM_WARNINGS" value="84"/>
<item UNITS="KB" dataType="int" stringID="MAP_PEAK_MEMORY" value="415420"/>
<item stringID="MAP_TOTAL_REAL_TIME" value="1 mins 31 secs "/>
<item stringID="MAP_TOTAL_CPU_TIME" value="1 mins 28 secs "/>
<item stringID="MAP_TOTAL_REAL_TIME" value="1 mins 26 secs "/>
<item stringID="MAP_TOTAL_CPU_TIME" value="1 mins 25 secs "/>
</section>
<section stringID="MAP_SLICE_REPORTING">
<item AVAILABLE="184304" dataType="int" label="Number of Slice Registers" stringID="MAP_SLICE_REGISTERS" value="796">
......@@ -131,10 +131,10 @@
<item dataType="int" stringID="MAP_NUM_SLICE_LATCHTHRU" value="0"/>
<item dataType="int" stringID="MAP_NUM_SLICE_LATCHLOGIC" value="0"/>
</item>
<item AVAILABLE="92152" dataType="int" label="Number of Slice LUTs" stringID="MAP_SLICE_LUTS" value="917">
<item AVAILABLE="92152" dataType="int" label="Number of Slice LUTs" stringID="MAP_SLICE_LUTS" value="941">
<item dataType="int" label="Number using O5 output only" stringID="MAP_NUM_LOGIC_O5ONLY" value="154"/>
<item dataType="int" label="Number using O6 output only" stringID="MAP_NUM_LOGIC_O6ONLY" value="571"/>
<item dataType="int" label="Number using O5 and O6" stringID="MAP_NUM_LOGIC_O5ANDO6" value="166"/>
<item dataType="int" label="Number using O6 output only" stringID="MAP_NUM_LOGIC_O6ONLY" value="587"/>
<item dataType="int" label="Number using O5 and O6" stringID="MAP_NUM_LOGIC_O5ANDO6" value="158"/>
<item dataType="int" stringID="MAP_NUM_ROM_O5ONLY" value="0"/>
<item dataType="int" stringID="MAP_NUM_ROM_O6ONLY" value="0"/>
<item dataType="int" stringID="MAP_NUM_ROM_O5ANDO6" value="0"/>
......@@ -148,25 +148,25 @@
<item dataType="int" stringID="MAP_NUM_SRL_O6ONLY" value="3"/>
<item dataType="int" stringID="MAP_NUM_SRL_O5ANDO6" value="0"/>
<item dataType="int" stringID="MAP_NUM_LUT_RT_EXO6" value="9"/>
<item dataType="int" stringID="MAP_NUM_LUT_RT_EXO5" value="6"/>
<item dataType="int" stringID="MAP_NUM_LUT_RT_EXO5" value="22"/>
<item dataType="int" stringID="MAP_NUM_LUT_RT_O5ANDO6" value="0"/>
<item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_FLOP" value="6"/>
<item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_FLOP" value="22"/>
<item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_CARRY4" value="9"/>
<item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_OTHERS" value="0"/>
</item>
<item AVAILABLE="23038" dataType="int" label="Number of occupied Slices" stringID="MAP_OCCUPIED_SLICES" value="371">
<item AVAILABLE="23038" dataType="int" label="Number of occupied Slices" stringID="MAP_OCCUPIED_SLICES" value="363">
<item AVAILABLE="6099" dataType="int" stringID="MAP_NUM_SLICEL" value="70"/>
<item AVAILABLE="5420" dataType="int" stringID="MAP_NUM_SLICEM" value="4"/>
<item AVAILABLE="11519" dataType="int" stringID="MAP_NUM_SLICEX" value="297"/>
<item AVAILABLE="11519" dataType="int" stringID="MAP_NUM_SLICEX" value="289"/>
</item>
<item dataType="int" label="Number of LUT Flip Flop pairs used" stringID="MAP_OCCUPIED_LUT_AND_FF" value="1113">
<item dataType="int" stringID="MAP_OCCUPIED_LUT_ONLY" value="382"/>
<item dataType="int" label="Number with an unused LUT" stringID="MAP_OCCUPIED_FF_ONLY" value="196"/>
<item dataType="int" label="Number of fully used LUT-FF pairs" stringID="MAP_OCCUPIED_FF_AND_LUT" value="535"/>
<item dataType="int" label="Number of LUT Flip Flop pairs used" stringID="MAP_OCCUPIED_LUT_AND_FF" value="1084">
<item dataType="int" stringID="MAP_OCCUPIED_LUT_ONLY" value="369"/>
<item dataType="int" label="Number with an unused LUT" stringID="MAP_OCCUPIED_FF_ONLY" value="143"/>
<item dataType="int" label="Number of fully used LUT-FF pairs" stringID="MAP_OCCUPIED_FF_AND_LUT" value="572"/>
</item>
</section>
<section stringID="MAP_IOB_REPORTING">
<item AVAILABLE="396" dataType="int" stringID="MAP_AGG_BONDED_IO" value="330"/>
<item AVAILABLE="396" dataType="int" stringID="MAP_AGG_BONDED_IO" value="329"/>
<item AVAILABLE="180" dataType="int" stringID="MAP_AGG_UNBONDED_IO" value="0"/>
<item AVAILABLE="0" dataType="int" label="IOB Flip Flops" stringID="MAP_NUM_IOB_FF" value="0"/>
<item AVAILABLE="0" dataType="int" stringID="MAP_NUM_IOB_LATCH" value="0"/>
......@@ -1508,14 +1508,6 @@
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="185">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="Si57xOe_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="186">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="Si57xSCl_ok"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1523,39 +1515,39 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="187">
<row stringID="row" value="186">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="Si57x_ik"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVDS_33"/>
<item label="Diff&#xA;Term" stringID="DIFF_TERM" value="TRUE"/>
</row>
<row stringID="row" value="188">
<row stringID="row" value="187">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="Si57x_ikn"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVDS_33"/>
<item label="Diff&#xA;Term" stringID="DIFF_TERM" value="TRUE"/>
</row>
<row stringID="row" value="189">
<row stringID="row" value="188">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="Switch_ib2&lt;0>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
</row>
<row stringID="row" value="190">
<row stringID="row" value="189">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="Switch_ib2&lt;1>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
</row>
<row stringID="row" value="191">
<row stringID="row" value="190">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="SysAppClk_ik"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
</row>
<row stringID="row" value="192">
<row stringID="row" value="191">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="SysAppClk_ok"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1563,7 +1555,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="193">
<row stringID="row" value="192">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="SysAppSlow_iob2&lt;1>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1571,7 +1563,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="194">
<row stringID="row" value="193">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="SysAppSlow_iob2&lt;2>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1579,19 +1571,19 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="195">
<row stringID="row" value="194">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="TempIdDQ_io"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="196">
<row stringID="row" value="195">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="UseGa_i"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
</row>
<row stringID="row" value="197">
<row stringID="row" value="196">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VAdcCs_on"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1599,7 +1591,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="198">
<row stringID="row" value="197">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VAdcDin_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1607,13 +1599,13 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="199">
<row stringID="row" value="198">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VAdcDout_i"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="200">
<row stringID="row" value="199">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VAdcSClk_ok"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1621,7 +1613,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="201">
<row stringID="row" value="200">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VAdjCs_on"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1629,7 +1621,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="202">
<row stringID="row" value="201">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VAdjDin_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1637,7 +1629,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="203">
<row stringID="row" value="202">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VAdjSClk_ok"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1645,7 +1637,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="204">
<row stringID="row" value="203">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VAdjSpi_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1653,13 +1645,13 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="205">
<row stringID="row" value="204">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VcTcXo_ik"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="206">
<row stringID="row" value="205">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeADirVfcToVme_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1667,7 +1659,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="207">
<row stringID="row" value="206">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeAOeN_oen"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1675,235 +1667,235 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="208">
<row stringID="row" value="207">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;1>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="209">
<row stringID="row" value="208">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;2>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="210">
<row stringID="row" value="209">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;3>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="211">
<row stringID="row" value="210">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;4>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="212">
<row stringID="row" value="211">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;5>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="213">
<row stringID="row" value="212">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;6>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="214">
<row stringID="row" value="213">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;7>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="215">
<row stringID="row" value="214">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;8>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="216">
<row stringID="row" value="215">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;9>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="217">
<row stringID="row" value="216">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;10>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="218">
<row stringID="row" value="217">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;11>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="219">
<row stringID="row" value="218">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;12>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="220">
<row stringID="row" value="219">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;13>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="221">
<row stringID="row" value="220">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;14>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="222">
<row stringID="row" value="221">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;15>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="223">
<row stringID="row" value="222">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;16>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="224">
<row stringID="row" value="223">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;17>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="225">
<row stringID="row" value="224">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;18>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="226">
<row stringID="row" value="225">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;19>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="227">
<row stringID="row" value="226">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;20>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="228">
<row stringID="row" value="227">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;21>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="229">
<row stringID="row" value="228">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;22>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="230">
<row stringID="row" value="229">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;23>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="231">
<row stringID="row" value="230">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;24>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="232">
<row stringID="row" value="231">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;25>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="233">
<row stringID="row" value="232">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;26>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="234">
<row stringID="row" value="233">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;27>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="235">
<row stringID="row" value="234">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;28>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="236">
<row stringID="row" value="235">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;29>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="237">
<row stringID="row" value="236">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;30>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="238">
<row stringID="row" value="237">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeA_iob31&lt;31>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="239">
<row stringID="row" value="238">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeAm_ib6&lt;0>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="240">
<row stringID="row" value="239">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeAm_ib6&lt;1>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="241">
<row stringID="row" value="240">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeAm_ib6&lt;2>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="242">
<row stringID="row" value="241">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeAm_ib6&lt;3>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="243">
<row stringID="row" value="242">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeAm_ib6&lt;4>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="244">
<row stringID="row" value="243">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeAm_ib6&lt;5>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="245">
<row stringID="row" value="244">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeAs_in"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="246">
<row stringID="row" value="245">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeBerr_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1911,7 +1903,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="247">
<row stringID="row" value="246">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeDDirVfcToVme_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1919,7 +1911,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="248">
<row stringID="row" value="247">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeDOeN_oen"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -1927,7 +1919,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="249">
<row stringID="row" value="248">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;0>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -1935,7 +1927,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="250">
<row stringID="row" value="249">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;1>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -1943,7 +1935,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="251">
<row stringID="row" value="250">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;2>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -1951,7 +1943,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="252">
<row stringID="row" value="251">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;3>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -1959,7 +1951,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="253">
<row stringID="row" value="252">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;4>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -1967,7 +1959,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="254">
<row stringID="row" value="253">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;5>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -1975,7 +1967,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="255">
<row stringID="row" value="254">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;6>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -1983,7 +1975,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="256">
<row stringID="row" value="255">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;7>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -1991,7 +1983,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="257">
<row stringID="row" value="256">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;8>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -1999,7 +1991,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="258">
<row stringID="row" value="257">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;9>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2007,7 +1999,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="259">
<row stringID="row" value="258">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;10>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2015,7 +2007,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="260">
<row stringID="row" value="259">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;11>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2023,7 +2015,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="261">
<row stringID="row" value="260">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;12>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2031,7 +2023,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="262">
<row stringID="row" value="261">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;13>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2039,7 +2031,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="263">
<row stringID="row" value="262">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;14>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2047,7 +2039,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="264">
<row stringID="row" value="263">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;15>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2055,7 +2047,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="265">
<row stringID="row" value="264">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;16>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2063,7 +2055,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="266">
<row stringID="row" value="265">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;17>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2071,7 +2063,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="267">
<row stringID="row" value="266">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;18>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2079,7 +2071,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="268">
<row stringID="row" value="267">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;19>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2087,7 +2079,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="269">
<row stringID="row" value="268">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;20>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2095,7 +2087,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="270">
<row stringID="row" value="269">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;21>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2103,7 +2095,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="271">
<row stringID="row" value="270">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;22>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2111,7 +2103,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="272">
<row stringID="row" value="271">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;23>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2119,7 +2111,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="273">
<row stringID="row" value="272">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;24>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2127,7 +2119,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="274">
<row stringID="row" value="273">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;25>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2135,7 +2127,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="275">
<row stringID="row" value="274">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;26>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2143,7 +2135,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="276">
<row stringID="row" value="275">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;27>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2151,7 +2143,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="277">
<row stringID="row" value="276">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;28>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2159,7 +2151,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="278">
<row stringID="row" value="277">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;29>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2167,7 +2159,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="279">
<row stringID="row" value="278">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;30>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2175,7 +2167,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="280">
<row stringID="row" value="279">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeD_iob32&lt;31>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="BIDIR"/>
......@@ -2183,19 +2175,19 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="281">
<row stringID="row" value="280">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeDs_inb2&lt;1>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="282">
<row stringID="row" value="281">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeDs_inb2&lt;2>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="283">
<row stringID="row" value="282">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeDtAckOe_oe"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2203,7 +2195,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="284">
<row stringID="row" value="283">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeDtAck_on"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2211,49 +2203,49 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="285">
<row stringID="row" value="284">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeGaP_in"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="286">
<row stringID="row" value="285">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeGa_ib5n&lt;0>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="287">
<row stringID="row" value="286">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeGa_ib5n&lt;1>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="288">
<row stringID="row" value="287">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeGa_ib5n&lt;2>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="289">
<row stringID="row" value="288">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeGa_ib5n&lt;3>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="290">
<row stringID="row" value="289">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeGa_ib5n&lt;4>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="291">
<row stringID="row" value="290">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeIackIn_in"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="292">
<row stringID="row" value="291">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeIackOut_on"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2261,13 +2253,13 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="293">
<row stringID="row" value="292">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeIack_in"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="294">
<row stringID="row" value="293">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeIrq_ob7&lt;1>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2275,7 +2267,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="295">
<row stringID="row" value="294">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeIrq_ob7&lt;2>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2283,7 +2275,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="296">
<row stringID="row" value="295">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeIrq_ob7&lt;3>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2291,7 +2283,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="297">
<row stringID="row" value="296">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeIrq_ob7&lt;4>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2299,7 +2291,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="298">
<row stringID="row" value="297">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeIrq_ob7&lt;5>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2307,7 +2299,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="299">
<row stringID="row" value="298">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeIrq_ob7&lt;6>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2315,7 +2307,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="300">
<row stringID="row" value="299">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeIrq_ob7&lt;7>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2323,13 +2315,13 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="301">
<row stringID="row" value="300">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeLword_io"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="302">
<row stringID="row" value="301">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeP0BunchSelectDir_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2337,7 +2329,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="303">
<row stringID="row" value="302">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeP0BunchSelectOe_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2345,7 +2337,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="304">
<row stringID="row" value="303">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeP0BuslineDir_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2353,7 +2345,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="305">
<row stringID="row" value="304">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeP0BuslineOe_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2361,7 +2353,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="306">
<row stringID="row" value="305">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeP0HwHighByteDir_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2369,7 +2361,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="307">
<row stringID="row" value="306">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeP0HwHighByteOe_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2377,7 +2369,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="308">
<row stringID="row" value="307">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeP0HwLowByteDir_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2385,7 +2377,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="309">
<row stringID="row" value="308">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeP0HwLowByteOe_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2393,13 +2385,13 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="310">
<row stringID="row" value="309">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeP0LvdsBunchClkIn_i"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="311">
<row stringID="row" value="310">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeP0LvdsBunchClkOut_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2407,13 +2399,13 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="312">
<row stringID="row" value="311">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeP0LvdsTClkIn_i"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="313">
<row stringID="row" value="312">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeP0LvdsTClkOut_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2421,7 +2413,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="314">
<row stringID="row" value="313">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeRetryOe_oe"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2429,7 +2421,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="315">
<row stringID="row" value="314">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeRetry_on"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2437,31 +2429,31 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="316">
<row stringID="row" value="315">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeSysClk_ik"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="317">
<row stringID="row" value="316">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeSysReset_in"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="318">
<row stringID="row" value="317">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeTck_i"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="319">
<row stringID="row" value="318">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeTdi_i"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="320">
<row stringID="row" value="319">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeTdoOe_oe"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2469,7 +2461,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="321">
<row stringID="row" value="320">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeTdo_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2477,37 +2469,37 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="322">
<row stringID="row" value="321">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeTms_i"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="323">
<row stringID="row" value="322">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeTrst_i"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="324">
<row stringID="row" value="323">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="VmeWrite_in"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="325">
<row stringID="row" value="324">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="WRLoS_i"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="326">
<row stringID="row" value="325">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="WRModeDef0_i"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS33"/>
</row>
<row stringID="row" value="327">
<row stringID="row" value="326">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="WRModeDef1_i"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2515,7 +2507,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="328">
<row stringID="row" value="327">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="WRRateSelect_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2523,7 +2515,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="329">
<row stringID="row" value="328">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="WRTxDisable_o"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
......@@ -2531,7 +2523,7 @@
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
</row>
<row stringID="row" value="330">
<row stringID="row" value="329">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="WRTxFault_i"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="INPUT"/>
......
......@@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="NgdBuild" timeStamp="Thu Dec 16 18:41:24 2010">
<application stringID="NgdBuild" timeStamp="Fri Dec 17 09:59:56 2010">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
......@@ -93,9 +93,9 @@
<item dataType="int" stringID="NGDBUILD_NUM_LUT6" value="307"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXCY" value="186"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXF7" value="18"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="152"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="151"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUFDS" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUFT" value="33"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUFT" value="34"/>
<item dataType="int" stringID="NGDBUILD_NUM_RAM16X1D" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_RAM32M" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_SRLC16E" value="3"/>
......@@ -125,9 +125,9 @@
<item dataType="int" stringID="NGDBUILD_NUM_LUT6" value="307"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXCY" value="186"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXF7" value="18"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="152"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="151"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUFDS" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUFT" value="65"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUFT" value="66"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUFTDS" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_RAM32M" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_SRLC16E" value="3"/>
......
#Release 12.3 - par M.70d (nt)
#Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
#Thu Dec 16 18:44:09 2010
#Fri Dec 17 10:02:33 2010
#
## NOTE: This file is designed to be imported into a spreadsheet program
......@@ -296,7 +296,7 @@ E14,,IOBS,IO_L40N_0,UNUSED,,0,,,,,,,,,
E15,,,GND,,,,,,,,,,,,
E16,VmeP0HwLowByteOe_o,IOB,IO_L49N_0,OUTPUT,LVCMOS33,0,12,,,,,LOCATED,NO,NONE,
E17,,,VCCAUX,,,,,,,,2.5,,,,
E18,Si57xOe_o,IOB,IO_L51N_0,OUTPUT,LVCMOS33,0,12,,,,,LOCATED,NO,NONE,
E18,,IOBS,IO_L51N_0,UNUSED,,0,,,,,,,,,
E19,,,GND,,,,,,,,,,,,
E20,VmeWrite_in,IOB,IO_L57N_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE,
E21,,,VCCO_0,,,0,,,,,3.30,,,,
......
Release 12.3 - par M.70d (nt)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
Thu Dec 16 18:44:10 2010
Fri Dec 17 10:02:34 2010
INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are:
......@@ -297,7 +297,7 @@ Pinout by Pin Number:
|E15 | | |GND | | | | | | | | | | | |
|E16 |VmeP0HwLowByteOe_o |IOB |IO_L49N_0 |OUTPUT |LVCMOS33 |0 |12 | | | | |LOCATED |NO |NONE |
|E17 | | |VCCAUX | | | | | | | |2.5 | | | |
|E18 |Si57xOe_o |IOB |IO_L51N_0 |OUTPUT |LVCMOS33 |0 |12 | | | | |LOCATED |NO |NONE |
|E18 | |IOBS |IO_L51N_0 |UNUSED | |0 | | | | | | | | |
|E19 | | |GND | | | | | | | | | | | |
|E20 |VmeWrite_in |IOB |IO_L57N_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE |
|E21 | | |VCCO_0 | | |0 | | | | |3.30 | | | |
......
......@@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="par" timeStamp="Thu Dec 16 18:43:24 2010">
<application stringID="par" timeStamp="Fri Dec 17 10:01:46 2010">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
......@@ -68,7 +68,7 @@
<item dataType="int" stringID="PAR_UNROUTES" value="0"/>
<item dataType="float" stringID="PAR_TIMING_SCORE" value="0.000000"/>
<item stringID="PAR_REAL_TIME_COMPLETION_PAR" value="1 mins 8 secs "/>
<item stringID="PAR_CPU_TIME_COMPLETION_PAR" value="1 mins 6 secs "/>
<item stringID="PAR_CPU_TIME_COMPLETION_PAR" value="1 mins 7 secs "/>
</section>
</task>
<task stringID="PAR_par">
......@@ -87,8 +87,8 @@
<item label="Routed" stringID="ROUTED" value="ROUTED"/>
<item label="Resource" stringID="RESOURCE" value="BUFGMUX_X2Y4"/>
<item label="Locked" stringID="LOCKED" value="No"/>
<item dataType="float" label="Fanout" stringID="FANOUT" value="221.000000"/>
<item dataType="float" label="Net Skew(ns)" stringID="NET_SKEW" value="0.247000"/>
<item dataType="float" label="Fanout" stringID="FANOUT" value="217.000000"/>
<item dataType="float" label="Net Skew(ns)" stringID="NET_SKEW" value="0.248000"/>
<item dataType="float" label="Max Delay(ns)" stringID="MAX_DELAY" value="1.696000"/>
</row>
<row stringID="row" value="2">
......@@ -114,17 +114,17 @@
<item label="Routed" stringID="ROUTED" value="ROUTED"/>
<item label="Resource" stringID="RESOURCE" value="BUFGMUX_X3Y14"/>
<item label="Locked" stringID="LOCKED" value="No"/>
<item dataType="float" label="Fanout" stringID="FANOUT" value="18.000000"/>
<item dataType="float" label="Net Skew(ns)" stringID="NET_SKEW" value="0.186000"/>
<item dataType="float" label="Max Delay(ns)" stringID="MAX_DELAY" value="1.688000"/>
<item dataType="float" label="Fanout" stringID="FANOUT" value="15.000000"/>
<item dataType="float" label="Net Skew(ns)" stringID="NET_SKEW" value="0.009000"/>
<item dataType="float" label="Max Delay(ns)" stringID="MAX_DELAY" value="1.515000"/>
</row>
<row stringID="row" value="5">
<item label="Clock Net" stringID="CLOCK_NET" value="i_Core/Rst_rq"/>
<item label="Routed" stringID="ROUTED" value="ROUTED"/>
<item label="Resource" stringID="RESOURCE" value="Local"/>
<item dataType="float" label="Fanout" stringID="FANOUT" value="217.000000"/>
<item dataType="float" label="Fanout" stringID="FANOUT" value="213.000000"/>
<item dataType="float" label="Net Skew(ns)" stringID="NET_SKEW" value="0.000000"/>
<item dataType="float" label="Max Delay(ns)" stringID="MAX_DELAY" value="4.055000"/>
<item dataType="float" label="Max Delay(ns)" stringID="MAX_DELAY" value="6.628000"/>
</row>
<row stringID="row" value="6">
<item label="Clock Net" stringID="CLOCK_NET" value="i_Core/i_VmeInterface/stb_o"/>
......@@ -132,7 +132,7 @@
<item label="Resource" stringID="RESOURCE" value="Local"/>
<item dataType="float" label="Fanout" stringID="FANOUT" value="19.000000"/>
<item dataType="float" label="Net Skew(ns)" stringID="NET_SKEW" value="0.000000"/>
<item dataType="float" label="Max Delay(ns)" stringID="MAX_DELAY" value="4.159000"/>
<item dataType="float" label="Max Delay(ns)" stringID="MAX_DELAY" value="4.448000"/>
</row>
</table>
</section>
......@@ -2469,16 +2469,10 @@
</row>
<row stringID="row" value="278">
<item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="E18"/>
<item label="Signal&#xA;Name" stringID="Signal_Name" value="Si57xOe_o"/>
<item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOB"/>
<item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
<item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L51N_0"/>
<item stringID="Direction" value="OUTPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_Standard" value="LVCMOS33"/>
<item stringID="Direction" value="UNUSED"/>
<item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
<item label="Drive&#xA;(mA)" stringID="Drive" value="12"/>
<item label="Constraint" stringID="Constraint" value="LOCATED"/>
<item label="IO&#xA;Register" stringID="IO_Register" value="NO"/>
<item label="Signal&#xA;Integrity" stringID="Signal_Integrity" value="NONE"/>
</row>
<row stringID="row" value="279">
<item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="E19"/>
......@@ -6285,7 +6279,7 @@
</task>
</application>
<application stringID="Par" timeStamp="Thu Dec 16 18:43:24 2010">
<application stringID="Par" timeStamp="Fri Dec 17 10:01:47 2010">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
......@@ -6341,10 +6335,10 @@
<item dataType="int" stringID="PAR_NUM_SLICE_LATCHTHRU" value="0"/>
<item dataType="int" stringID="PAR_NUM_SLICE_LATCHLOGIC" value="0"/>
</item>
<item AVAILABLE="92152" dataType="int" label="Number of Slice LUTS" stringID="PAR_SLICE_LUTS" value="917">
<item AVAILABLE="92152" dataType="int" label="Number of Slice LUTS" stringID="PAR_SLICE_LUTS" value="941">
<item dataType="int" stringID="PAR_NUM_LOGIC_O5ONLY" value="154"/>
<item dataType="int" stringID="PAR_NUM_LOGIC_O6ONLY" value="571"/>
<item dataType="int" stringID="PAR_NUM_LOGIC_O5ANDO6" value="166"/>
<item dataType="int" stringID="PAR_NUM_LOGIC_O6ONLY" value="587"/>
<item dataType="int" stringID="PAR_NUM_LOGIC_O5ANDO6" value="158"/>
<item dataType="int" stringID="PAR_NUM_ROM_O5ONLY" value="0"/>
<item dataType="int" stringID="PAR_NUM_ROM_O6ONLY" value="0"/>
<item dataType="int" stringID="PAR_NUM_ROM_O5ANDO6" value="0"/>
......@@ -6358,25 +6352,25 @@
<item dataType="int" stringID="PAR_NUM_SRL_O6ONLY" value="3"/>
<item dataType="int" stringID="PAR_NUM_SRL_O5ANDO6" value="0"/>
<item dataType="int" stringID="PAR_NUM_LUT_RT_EXO6" value="9"/>
<item dataType="int" stringID="PAR_NUM_LUT_RT_EXO5" value="6"/>
<item dataType="int" stringID="PAR_NUM_LUT_RT_EXO5" value="22"/>
<item dataType="int" stringID="PAR_NUM_LUT_RT_O5ANDO6" value="0"/>
<item dataType="int" stringID="PAR_NUM_LUT_RT_DRIVES_FLOP" value="6"/>
<item dataType="int" stringID="PAR_NUM_LUT_RT_DRIVES_FLOP" value="22"/>
<item dataType="int" stringID="PAR_NUM_LUT_RT_DRIVES_CARRY4" value="9"/>
<item dataType="int" stringID="PAR_NUM_LUT_RT_DRIVES_OTHERS" value="0"/>
</item>
<item AVAILABLE="23038" dataType="int" stringID="PAR_OCCUPIED_SLICES" value="371">
<item AVAILABLE="23038" dataType="int" stringID="PAR_OCCUPIED_SLICES" value="363">
<item AVAILABLE="6099" dataType="int" stringID="PAR_NUM_SLICEL" value="70"/>
<item AVAILABLE="5420" dataType="int" stringID="PAR_NUM_SLICEM" value="4"/>
<item AVAILABLE="11519" dataType="int" stringID="PAR_NUM_SLICEX" value="297"/>
<item AVAILABLE="11519" dataType="int" stringID="PAR_NUM_SLICEX" value="289"/>
</item>
<item dataType="int" stringID="PAR_OCCUPIED_LUT_AND_FF" value="1113">
<item dataType="int" stringID="PAR_OCCUPIED_LUT_ONLY" value="382"/>
<item dataType="int" stringID="PAR_OCCUPIED_FF_ONLY" value="196"/>
<item dataType="int" stringID="PAR_OCCUPIED_FF_AND_LUT" value="535"/>
<item dataType="int" stringID="PAR_OCCUPIED_LUT_AND_FF" value="1084">
<item dataType="int" stringID="PAR_OCCUPIED_LUT_ONLY" value="369"/>
<item dataType="int" stringID="PAR_OCCUPIED_FF_ONLY" value="143"/>
<item dataType="int" stringID="PAR_OCCUPIED_FF_AND_LUT" value="572"/>
</item>
</section>
<section stringID="PAR_IOB_REPORTING">
<item AVAILABLE="396" dataType="int" stringID="PAR_AGG_BONDED_IO" value="330"/>
<item AVAILABLE="396" dataType="int" stringID="PAR_AGG_BONDED_IO" value="329"/>
<item AVAILABLE="180" dataType="int" stringID="PAR_AGG_UNBONDED_IO" value="0"/>
<item AVAILABLE="0" dataType="int" stringID="PAR_NUM_IOB_FF" value="0"/>
<item AVAILABLE="0" dataType="int" stringID="PAR_NUM_IOB_LATCH" value="0"/>
......
......@@ -2,7 +2,7 @@
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>SFpga Project Status (12/16/2010 - 18:45:27)</B></TD></TR>
<TD ALIGN=CENTER COLSPAN='4'><B>SFpga Project Status (12/17/2010 - 10:03:53)</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>SystemFpga.xise</TD>
......@@ -25,7 +25,7 @@ No Errors</TD>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 12.3</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/*.xmsgs?&DataKey=Warning'>319 Warnings (0 new)</A></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/*.xmsgs?&DataKey=Warning'>320 Warnings (0 new)</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
......@@ -90,19 +90,19 @@ System Settings</A>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of Slice LUTs</TD>
<TD ALIGN=RIGHT>917</TD>
<TD ALIGN=RIGHT>941</TD>
<TD ALIGN=RIGHT>92,152</TD>
<TD ALIGN=RIGHT>1%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as logic</TD>
<TD ALIGN=RIGHT>891</TD>
<TD ALIGN=RIGHT>899</TD>
<TD ALIGN=RIGHT>92,152</TD>
<TD ALIGN=RIGHT>1%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O6 output only</TD>
<TD ALIGN=RIGHT>571</TD>
<TD ALIGN=RIGHT>587</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
......@@ -114,7 +114,7 @@ System Settings</A>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O5 and O6</TD>
<TD ALIGN=RIGHT>166</TD>
<TD ALIGN=RIGHT>158</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
......@@ -186,13 +186,13 @@ System Settings</A>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used exclusively as route-thrus</TD>
<TD ALIGN=RIGHT>15</TD>
<TD ALIGN=RIGHT>31</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number with same-slice register load</TD>
<TD ALIGN=RIGHT>6</TD>
<TD ALIGN=RIGHT>22</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
......@@ -210,33 +210,33 @@ System Settings</A>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of occupied Slices</TD>
<TD ALIGN=RIGHT>371</TD>
<TD ALIGN=RIGHT>363</TD>
<TD ALIGN=RIGHT>23,038</TD>
<TD ALIGN=RIGHT>1%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of LUT Flip Flop pairs used</TD>
<TD ALIGN=RIGHT>1,113</TD>
<TD ALIGN=RIGHT>1,084</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number with an unused Flip Flop</TD>
<TD ALIGN=RIGHT>382</TD>
<TD ALIGN=RIGHT>1,113</TD>
<TD ALIGN=RIGHT>369</TD>
<TD ALIGN=RIGHT>1,084</TD>
<TD ALIGN=RIGHT>34%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number with an unused LUT</TD>
<TD ALIGN=RIGHT>196</TD>
<TD ALIGN=RIGHT>1,113</TD>
<TD ALIGN=RIGHT>17%</TD>
<TD ALIGN=RIGHT>143</TD>
<TD ALIGN=RIGHT>1,084</TD>
<TD ALIGN=RIGHT>13%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of fully used LUT-FF pairs</TD>
<TD ALIGN=RIGHT>535</TD>
<TD ALIGN=RIGHT>1,113</TD>
<TD ALIGN=RIGHT>48%</TD>
<TD ALIGN=RIGHT>572</TD>
<TD ALIGN=RIGHT>1,084</TD>
<TD ALIGN=RIGHT>52%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of unique control sets</TD>
......@@ -252,14 +252,14 @@ System Settings</A>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of bonded <A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga_map.xrpt?&DataKey=IOBProperties'>IOBs</A></TD>
<TD ALIGN=RIGHT>330</TD>
<TD ALIGN=RIGHT>329</TD>
<TD ALIGN=RIGHT>396</TD>
<TD ALIGN=RIGHT>83%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of LOCed IOBs</TD>
<TD ALIGN=RIGHT>328</TD>
<TD ALIGN=RIGHT>330</TD>
<TD ALIGN=RIGHT>327</TD>
<TD ALIGN=RIGHT>329</TD>
<TD ALIGN=RIGHT>99%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
......@@ -426,7 +426,7 @@ System Settings</A>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Average Fanout of Non-Clock Nets</TD>
<TD ALIGN=RIGHT>3.02</TD>
<TD ALIGN=RIGHT>3.03</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
......@@ -463,23 +463,23 @@ System Settings</A>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Thu Dec 16 18:41:15 2010</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/xst.xmsgs?&DataKey=Warning'>126 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/xst.xmsgs?&DataKey=Info'>12 Infos (2 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga.bld'>Translation Report</A></TD><TD>Current</TD><TD>Thu Dec 16 18:41:24 2010</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/ngdbuild.xmsgs?&DataKey=Warning'>14 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga_map.mrp'>Map Report</A></TD><TD>Current</TD><TD>Thu Dec 16 18:43:00 2010</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/map.xmsgs?&DataKey=Warning'>83 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/map.xmsgs?&DataKey=Info'>9 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga.par'>Place and Route Report</A></TD><TD>Current</TD><TD>Thu Dec 16 18:44:11 2010</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/par.xmsgs?&DataKey=Warning'>49 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Fri Dec 17 09:50:19 2010</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/xst.xmsgs?&DataKey=Warning'>126 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/xst.xmsgs?&DataKey=Info'>12 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga.bld'>Translation Report</A></TD><TD>Current</TD><TD>Fri Dec 17 09:59:56 2010</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/ngdbuild.xmsgs?&DataKey=Warning'>14 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga_map.mrp'>Map Report</A></TD><TD>Current</TD><TD>Fri Dec 17 10:01:25 2010</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/map.xmsgs?&DataKey=Warning'>84 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/map.xmsgs?&DataKey=Info'>9 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga.par'>Place and Route Report</A></TD><TD>Current</TD><TD>Fri Dec 17 10:02:35 2010</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/par.xmsgs?&DataKey=Warning'>49 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga.twr'>Post-PAR Static Timing Report</A></TD><TD>Current</TD><TD>Thu Dec 16 18:44:36 2010</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/trce.xmsgs?&DataKey=Info'>2 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga.bgn'>Bitgen Report</A></TD><TD>Current</TD><TD>Thu Dec 16 18:45:20 2010</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/bitgen.xmsgs?&DataKey=Warning'>47 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga.twr'>Post-PAR Static Timing Report</A></TD><TD>Current</TD><TD>Fri Dec 17 10:03:01 2010</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/trce.xmsgs?&DataKey=Info'>2 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga.bgn'>Bitgen Report</A></TD><TD>Current</TD><TD>Fri Dec 17 10:03:45 2010</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\_xmsgs/bitgen.xmsgs?&DataKey=Warning'>47 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga_preroute.twr'>Post-Map Static Timing Report</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Thu Dec 16 17:57:34 2010</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\SFpga.ibs'>IBIS Model</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Wed Dec 15 15:16:36 2010</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\usage_statistics_webtalk.html'>WebTalk Report</A></TD><TD>Current</TD><TD COLSPAN='2'>Thu Dec 16 18:45:20 2010</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\webtalk.log'>WebTalk Log File</A></TD><TD>Current</TD><TD COLSPAN='2'>Thu Dec 16 18:45:27 2010</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\usage_statistics_webtalk.html'>WebTalk Report</A></TD><TD>Current</TD><TD COLSPAN='2'>Fri Dec 17 10:03:46 2010</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/VFC_SVN/firmware/XilinxISE/SystemFpga\webtalk.log'>WebTalk Log File</A></TD><TD>Current</TD><TD COLSPAN='2'>Fri Dec 17 10:03:52 2010</TD></TR>
</TABLE>
<br><center><b>Date Generated:</b> 12/16/2010 - 18:45:28</center>
<br><center><b>Date Generated:</b> 12/17/2010 - 10:03:53</center>
</BODY></HTML>
\ No newline at end of file
......@@ -4,7 +4,7 @@
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<DesignSummary rev="9">
<DesignSummary rev="13">
<CmdHistory>
</CmdHistory>
</DesignSummary>
......@@ -4,802 +4,798 @@
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<DeviceUsageSummary rev="9">
<DesignStatistics TimeStamp="Thu Dec 16 18:45:18 2010"><group name="NetStatistics">
<item name="NumNets_Active" rev="9">
<attrib name="value" value="1863"/></item>
<item name="NumNets_Gnd" rev="9">
<DeviceUsageSummary rev="13">
<DesignStatistics TimeStamp="Fri Dec 17 10:03:44 2010"><group name="NetStatistics">
<item name="NumNets_Active" rev="13">
<attrib name="value" value="1862"/></item>
<item name="NumNets_Gnd" rev="13">
<attrib name="value" value="1"/></item>
<item name="NumNets_Vcc" rev="9">
<item name="NumNets_Vcc" rev="13">
<attrib name="value" value="1"/></item>
<item name="NumNodesOfType_Active_BOUNCEACROSS" rev="9">
<attrib name="value" value="39"/></item>
<item name="NumNodesOfType_Active_BOUNCEIN" rev="9">
<attrib name="value" value="205"/></item>
<item name="NumNodesOfType_Active_BUFGOUT" rev="9">
<item name="NumNodesOfType_Active_BOUNCEACROSS" rev="13">
<attrib name="value" value="20"/></item>
<item name="NumNodesOfType_Active_BOUNCEIN" rev="13">
<attrib name="value" value="236"/></item>
<item name="NumNodesOfType_Active_BUFGOUT" rev="13">
<attrib name="value" value="4"/></item>
<item name="NumNodesOfType_Active_BUFHINP2OUT" rev="9">
<item name="NumNodesOfType_Active_BUFHINP2OUT" rev="13">
<attrib name="value" value="15"/></item>
<item name="NumNodesOfType_Active_CLKPIN" rev="9">
<attrib name="value" value="253"/></item>
<item name="NumNodesOfType_Active_CLKPINFEED" rev="9">
<item name="NumNodesOfType_Active_CLKPIN" rev="13">
<attrib name="value" value="246"/></item>
<item name="NumNodesOfType_Active_CLKPINFEED" rev="13">
<attrib name="value" value="19"/></item>
<item name="NumNodesOfType_Active_CNTRLPIN" rev="9">
<attrib name="value" value="301"/></item>
<item name="NumNodesOfType_Active_DOUBLE" rev="9">
<attrib name="value" value="1944"/></item>
<item name="NumNodesOfType_Active_GENERIC" rev="9">
<item name="NumNodesOfType_Active_CNTRLPIN" rev="13">
<attrib name="value" value="292"/></item>
<item name="NumNodesOfType_Active_DOUBLE" rev="13">
<attrib name="value" value="1958"/></item>
<item name="NumNodesOfType_Active_GENERIC" rev="13">
<attrib name="value" value="358"/></item>
<item name="NumNodesOfType_Active_GLOBAL" rev="9">
<attrib name="value" value="137"/></item>
<item name="NumNodesOfType_Active_INPUT" rev="9">
<item name="NumNodesOfType_Active_GLOBAL" rev="13">
<attrib name="value" value="131"/></item>
<item name="NumNodesOfType_Active_INPUT" rev="13">
<attrib name="value" value="50"/></item>
<item name="NumNodesOfType_Active_IOBIN2OUT" rev="9">
<item name="NumNodesOfType_Active_IOBIN2OUT" rev="13">
<attrib name="value" value="245"/></item>
<item name="NumNodesOfType_Active_IOBOUTPUT" rev="9">
<item name="NumNodesOfType_Active_IOBOUTPUT" rev="13">
<attrib name="value" value="245"/></item>
<item name="NumNodesOfType_Active_LUTINPUT" rev="9">
<attrib name="value" value="3687"/></item>
<item name="NumNodesOfType_Active_OUTBOUND" rev="9">
<item name="NumNodesOfType_Active_LUTINPUT" rev="13">
<attrib name="value" value="3719"/></item>
<item name="NumNodesOfType_Active_OUTBOUND" rev="13">
<attrib name="value" value="1558"/></item>
<item name="NumNodesOfType_Active_OUTPUT" rev="9">
<attrib name="value" value="1419"/></item>
<item name="NumNodesOfType_Active_PADINPUT" rev="9">
<item name="NumNodesOfType_Active_OUTPUT" rev="13">
<attrib name="value" value="1411"/></item>
<item name="NumNodesOfType_Active_PADINPUT" rev="13">
<attrib name="value" value="137"/></item>
<item name="NumNodesOfType_Active_PADOUTPUT" rev="9">
<item name="NumNodesOfType_Active_PADOUTPUT" rev="13">
<attrib name="value" value="116"/></item>
<item name="NumNodesOfType_Active_PINBOUNCE" rev="9">
<attrib name="value" value="850"/></item>
<item name="NumNodesOfType_Active_PINFEED" rev="9">
<attrib name="value" value="4252"/></item>
<item name="NumNodesOfType_Active_QUAD" rev="9">
<attrib name="value" value="5340"/></item>
<item name="NumNodesOfType_Active_REGINPUT" rev="9">
<attrib name="value" value="298"/></item>
<item name="NumNodesOfType_Active_SINGLE" rev="9">
<attrib name="value" value="2304"/></item>
<item name="NumNodesOfType_Vcc_CNTRLPIN" rev="9">
<attrib name="value" value="2"/></item>
<item name="NumNodesOfType_Vcc_GENERIC" rev="9">
<item name="NumNodesOfType_Active_PINBOUNCE" rev="13">
<attrib name="value" value="815"/></item>
<item name="NumNodesOfType_Active_PINFEED" rev="13">
<attrib name="value" value="4273"/></item>
<item name="NumNodesOfType_Active_QUAD" rev="13">
<attrib name="value" value="5304"/></item>
<item name="NumNodesOfType_Active_REGINPUT" rev="13">
<attrib name="value" value="280"/></item>
<item name="NumNodesOfType_Active_SINGLE" rev="13">
<attrib name="value" value="2257"/></item>
<item name="NumNodesOfType_Vcc_CNTRLPIN" rev="13">
<attrib name="value" value="2"/></item>
<item name="NumNodesOfType_Vcc_GENERIC" rev="13">
<attrib name="value" value="12"/></item>
<item name="NumNodesOfType_Vcc_HVCCOUT" rev="9">
<attrib name="value" value="132"/></item>
<item name="NumNodesOfType_Vcc_IOBIN2OUT" rev="9">
<item name="NumNodesOfType_Vcc_HVCCOUT" rev="13">
<attrib name="value" value="135"/></item>
<item name="NumNodesOfType_Vcc_IOBIN2OUT" rev="13">
<attrib name="value" value="12"/></item>
<item name="NumNodesOfType_Vcc_IOBOUTPUT" rev="9">
<item name="NumNodesOfType_Vcc_IOBOUTPUT" rev="13">
<attrib name="value" value="12"/></item>
<item name="NumNodesOfType_Vcc_KVCCOUT" rev="9">
<item name="NumNodesOfType_Vcc_KVCCOUT" rev="13">
<attrib name="value" value="6"/></item>
<item name="NumNodesOfType_Vcc_LUTINPUT" rev="9">
<attrib name="value" value="342"/></item>
<item name="NumNodesOfType_Vcc_PADINPUT" rev="9">
<item name="NumNodesOfType_Vcc_LUTINPUT" rev="13">
<attrib name="value" value="334"/></item>
<item name="NumNodesOfType_Vcc_PADINPUT" rev="13">
<attrib name="value" value="12"/></item>
<item name="NumNodesOfType_Vcc_PINBOUNCE" rev="9">
<item name="NumNodesOfType_Vcc_PINBOUNCE" rev="13">
<attrib name="value" value="13"/></item>
<item name="NumNodesOfType_Vcc_PINFEED" rev="9">
<attrib name="value" value="354"/></item>
<item name="NumNodesOfType_Vcc_REGINPUT" rev="9">
<item name="NumNodesOfType_Vcc_PINFEED" rev="13">
<attrib name="value" value="346"/></item>
<item name="NumNodesOfType_Vcc_REGINPUT" rev="13">
<attrib name="value" value="11"/></item>
</group>
<group name="MiscellaneousStatistics">
<item name="AGG_BONDED_IO" rev="8">
<attrib name="value" value="330"/></item>
<item name="AGG_IO" rev="8">
<attrib name="value" value="330"/></item>
<item name="AGG_LOCED_IO" rev="8">
<attrib name="value" value="328"/></item>
<item name="AGG_SLICE" rev="8">
<attrib name="value" value="371"/></item>
<item name="NUM_BONDED_IOB" rev="8">
<attrib name="value" value="326"/></item>
<item name="NUM_BONDED_IOBM" rev="8">
<attrib name="value" value="2"/></item>
<item name="NUM_BONDED_IOBS" rev="8">
<attrib name="value" value="2"/></item>
<item name="NUM_BSFULL" rev="8">
<attrib name="value" value="535"/></item>
<item name="NUM_BSLUTONLY" rev="8">
<attrib name="value" value="382"/></item>
<item name="NUM_BSREGONLY" rev="8">
<attrib name="value" value="196"/></item>
<item name="NUM_BSUSED" rev="8">
<attrib name="value" value="1113"/></item>
<item name="NUM_BUFG" rev="8">
<attrib name="value" value="4"/></item>
<item name="NUM_DPRAM_O5ANDO6" rev="8">
<attrib name="value" value="4"/></item>
<item name="NUM_DPRAM_O6ONLY" rev="8">
<attrib name="value" value="4"/></item>
<item name="NUM_LOCED_IOB" rev="8">
<attrib name="value" value="324"/></item>
<item name="NUM_LOCED_IOBM" rev="8">
<attrib name="value" value="2"/></item>
<item name="NUM_LOCED_IOBS" rev="8">
<attrib name="value" value="2"/></item>
<item name="NUM_LOGIC_O5ANDO6" rev="8">
<attrib name="value" value="166"/></item>
<item name="NUM_LOGIC_O5ONLY" rev="8">
<item name="AGG_BONDED_IO" rev="12">
<attrib name="value" value="329"/></item>
<item name="AGG_IO" rev="12">
<attrib name="value" value="329"/></item>
<item name="AGG_LOCED_IO" rev="12">
<attrib name="value" value="327"/></item>
<item name="AGG_SLICE" rev="12">
<attrib name="value" value="363"/></item>
<item name="NUM_BONDED_IOB" rev="12">
<attrib name="value" value="325"/></item>
<item name="NUM_BONDED_IOBM" rev="12">
<attrib name="value" value="2"/></item>
<item name="NUM_BONDED_IOBS" rev="12">
<attrib name="value" value="2"/></item>
<item name="NUM_BSFULL" rev="12">
<attrib name="value" value="572"/></item>
<item name="NUM_BSLUTONLY" rev="12">
<attrib name="value" value="369"/></item>
<item name="NUM_BSREGONLY" rev="12">
<attrib name="value" value="143"/></item>
<item name="NUM_BSUSED" rev="12">
<attrib name="value" value="1084"/></item>
<item name="NUM_BUFG" rev="12">
<attrib name="value" value="4"/></item>
<item name="NUM_DPRAM_O5ANDO6" rev="12">
<attrib name="value" value="4"/></item>
<item name="NUM_DPRAM_O6ONLY" rev="12">
<attrib name="value" value="4"/></item>
<item name="NUM_LOCED_IOB" rev="12">
<attrib name="value" value="323"/></item>
<item name="NUM_LOCED_IOBM" rev="12">
<attrib name="value" value="2"/></item>
<item name="NUM_LOCED_IOBS" rev="12">
<attrib name="value" value="2"/></item>
<item name="NUM_LOGIC_O5ANDO6" rev="12">
<attrib name="value" value="158"/></item>
<item name="NUM_LOGIC_O5ONLY" rev="12">
<attrib name="value" value="154"/></item>
<item name="NUM_LOGIC_O6ONLY" rev="8">
<attrib name="value" value="571"/></item>
<item name="NUM_LUT_RT_DRIVES_CARRY4" rev="8">
<item name="NUM_LOGIC_O6ONLY" rev="12">
<attrib name="value" value="587"/></item>
<item name="NUM_LUT_RT_DRIVES_CARRY4" rev="12">
<attrib name="value" value="9"/></item>
<item name="NUM_LUT_RT_DRIVES_FLOP" rev="8">
<attrib name="value" value="6"/></item>
<item name="NUM_LUT_RT_EXO5" rev="8">
<attrib name="value" value="6"/></item>
<item name="NUM_LUT_RT_EXO6" rev="8">
<item name="NUM_LUT_RT_DRIVES_FLOP" rev="12">
<attrib name="value" value="22"/></item>
<item name="NUM_LUT_RT_EXO5" rev="12">
<attrib name="value" value="22"/></item>
<item name="NUM_LUT_RT_EXO6" rev="12">
<attrib name="value" value="9"/></item>
<item name="NUM_LUT_RT_O5" rev="8">
<item name="NUM_LUT_RT_O5" rev="12">
<attrib name="value" value="7"/></item>
<item name="NUM_LUT_RT_O6" rev="8">
<item name="NUM_LUT_RT_O6" rev="12">
<attrib name="value" value="154"/></item>
<item name="NUM_SLICEL" rev="8">
<item name="NUM_SLICEL" rev="12">
<attrib name="value" value="70"/></item>
<item name="NUM_SLICEM" rev="8">
<item name="NUM_SLICEM" rev="12">
<attrib name="value" value="4"/></item>
<item name="NUM_SLICEX" rev="8">
<attrib name="value" value="297"/></item>
<item name="NUM_SLICE_CARRY4" rev="8">
<item name="NUM_SLICEX" rev="12">
<attrib name="value" value="289"/></item>
<item name="NUM_SLICE_CARRY4" rev="12">
<attrib name="value" value="52"/></item>
<item name="NUM_SLICE_CONTROLSET" rev="8">
<item name="NUM_SLICE_CONTROLSET" rev="12">
<attrib name="value" value="32"/></item>
<item name="NUM_SLICE_CYINIT" rev="8">
<attrib name="value" value="1259"/></item>
<item name="NUM_SLICE_F7MUX" rev="8">
<item name="NUM_SLICE_CYINIT" rev="12">
<attrib name="value" value="1275"/></item>
<item name="NUM_SLICE_F7MUX" rev="12">
<attrib name="value" value="18"/></item>
<item name="NUM_SLICE_FF" rev="8">
<item name="NUM_SLICE_FF" rev="12">
<attrib name="value" value="796"/></item>
<item name="NUM_SLICE_UNUSEDCTRL" rev="8">
<attrib name="value" value="118"/></item>
<item name="NUM_SRL_O6ONLY" rev="8">
<item name="NUM_SLICE_UNUSEDCTRL" rev="12">
<attrib name="value" value="117"/></item>
<item name="NUM_SRL_O6ONLY" rev="12">
<attrib name="value" value="3"/></item>
<item name="NUM_UNUSABLE_FF_BELS" rev="8">
<item name="NUM_UNUSABLE_FF_BELS" rev="12">
<attrib name="value" value="85"/></item>
</group>
<group name="SiteStatistics">
<item name="BUFG-BUFGMUX" rev="9">
<item name="BUFG-BUFGMUX" rev="13">
<attrib name="value" value="4"/></item>
<item name="IOB-IOBM" rev="9">
<item name="IOB-IOBM" rev="13">
<attrib name="value" value="161"/></item>
<item name="IOB-IOBS" rev="9">
<attrib name="value" value="165"/></item>
<item name="SLICEL-SLICEM" rev="9">
<attrib name="value" value="33"/></item>
<item name="SLICEX-SLICEL" rev="9">
<attrib name="value" value="76"/></item>
<item name="SLICEX-SLICEM" rev="9">
<attrib name="value" value="65"/></item>
<item name="IOB-IOBS" rev="13">
<attrib name="value" value="164"/></item>
<item name="SLICEL-SLICEM" rev="13">
<attrib name="value" value="18"/></item>
<item name="SLICEX-SLICEL" rev="13">
<attrib name="value" value="63"/></item>
<item name="SLICEX-SLICEM" rev="13">
<attrib name="value" value="66"/></item>
</group>
</DesignStatistics>
<DeviceUsage TimeStamp="Thu Dec 16 18:45:18 2010"><group name="SiteSummary">
<item name="BUFG" rev="9">
<DeviceUsage TimeStamp="Fri Dec 17 10:03:44 2010"><group name="SiteSummary">
<item name="BUFG" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="4"/></item>
<item name="BUFG_BUFG" rev="9">
<item name="BUFG_BUFG" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="4"/></item>
<item name="CARRY4" rev="9">
<item name="CARRY4" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="52"/></item>
<item name="FF_SR" rev="9">
<attrib name="total" value="1000000"/><attrib name="used" value="73"/></item>
<item name="HARD0" rev="9">
<item name="FF_SR" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="89"/></item>
<item name="HARD0" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="11"/></item>
<item name="IOB" rev="9">
<attrib name="total" value="1000000"/><attrib name="used" value="326"/></item>
<item name="IOBM" rev="9">
<item name="IOB" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="325"/></item>
<item name="IOBM" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="2"/></item>
<item name="IOBM_OUTBUF" rev="9">
<item name="IOBM_OUTBUF" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="2"/></item>
<item name="IOBS" rev="9">
<item name="IOBS" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="2"/></item>
<item name="IOB_IMUX" rev="9">
<item name="IOB_IMUX" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="159"/></item>
<item name="IOB_INBUF" rev="9">
<item name="IOB_INBUF" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="159"/></item>
<item name="IOB_OUTBUF" rev="9">
<attrib name="total" value="1000000"/><attrib name="used" value="198"/></item>
<item name="LUT5" rev="9">
<attrib name="total" value="1000000"/><attrib name="used" value="333"/></item>
<item name="LUT6" rev="9">
<attrib name="total" value="1000000"/><attrib name="used" value="900"/></item>
<item name="LUT_OR_MEM5" rev="9">
<item name="IOB_OUTBUF" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="197"/></item>
<item name="LUT5" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="341"/></item>
<item name="LUT6" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="908"/></item>
<item name="LUT_OR_MEM5" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="4"/></item>
<item name="LUT_OR_MEM6" rev="9">
<item name="LUT_OR_MEM6" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="11"/></item>
<item name="NULLMUX" rev="9">
<item name="NULLMUX" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="3"/></item>
<item name="PAD" rev="9">
<attrib name="total" value="1000000"/><attrib name="used" value="330"/></item>
<item name="REG_SR" rev="9">
<attrib name="total" value="1000000"/><attrib name="used" value="723"/></item>
<item name="SELMUX2_1" rev="9">
<item name="PAD" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="329"/></item>
<item name="REG_SR" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="707"/></item>
<item name="SELMUX2_1" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="18"/></item>
<item name="SLICEL" rev="9">
<item name="SLICEL" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="70"/></item>
<item name="SLICEM" rev="9">
<item name="SLICEM" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="4"/></item>
<item name="SLICEX" rev="9">
<attrib name="total" value="1000000"/><attrib name="used" value="297"/></item>
<item name="SLICEX" rev="13">
<attrib name="total" value="1000000"/><attrib name="used" value="289"/></item>
</group>
</DeviceUsage>
<ReportConfigData TimeStamp="Thu Dec 16 18:45:18 2010"><group name="REG_SR">
<item name="CK" rev="9">
<attrib name="CK" value="723"/><attrib name="CK_INV" value="0"/></item>
<item name="LATCH_OR_FF" rev="9">
<attrib name="FF" value="723"/></item>
<item name="SRINIT" rev="9">
<attrib name="SRINIT0" value="671"/><attrib name="SRINIT1" value="52"/></item>
<item name="SYNC_ATTR" rev="9">
<attrib name="ASYNC" value="252"/><attrib name="SYNC" value="471"/></item>
<ReportConfigData TimeStamp="Fri Dec 17 10:03:44 2010"><group name="REG_SR">
<item name="CK" rev="13">
<attrib name="CK" value="707"/><attrib name="CK_INV" value="0"/></item>
<item name="LATCH_OR_FF" rev="13">
<attrib name="FF" value="707"/></item>
<item name="SRINIT" rev="13">
<attrib name="SRINIT0" value="655"/><attrib name="SRINIT1" value="52"/></item>
<item name="SYNC_ATTR" rev="13">
<attrib name="ASYNC" value="240"/><attrib name="SYNC" value="467"/></item>
</group>
<group name="LUT_OR_MEM5">
<item name="CLK" rev="9">
<item name="CLK" rev="13">
<attrib name="CLK" value="4"/><attrib name="CLK_INV" value="0"/></item>
<item name="LUT_OR_MEM" rev="9">
<item name="LUT_OR_MEM" rev="13">
<attrib name="RAM" value="4"/></item>
<item name="RAMMODE" rev="9">
<item name="RAMMODE" rev="13">
<attrib name="DPRAM32" value="4"/></item>
</group>
<group name="LUT_OR_MEM6">
<item name="CLK" rev="9">
<item name="CLK" rev="13">
<attrib name="CLK" value="11"/><attrib name="CLK_INV" value="0"/></item>
<item name="LUT_OR_MEM" rev="9">
<item name="LUT_OR_MEM" rev="13">
<attrib name="RAM" value="11"/></item>
<item name="RAMMODE" rev="9">
<item name="RAMMODE" rev="13">
<attrib name="SRL16" value="3"/><attrib name="DPRAM32" value="4"/><attrib name="DPRAM64" value="4"/></item>
</group>
<group name="IOBM_OUTBUF">
<item name="SUSPEND" rev="9">
<item name="SUSPEND" rev="13">
<attrib name="3STATE" value="2"/></item>
</group>
<group name="SLICEL">
<item name="CLK" rev="9">
<attrib name="CLK" value="40"/><attrib name="CLK_INV" value="0"/></item>
<item name="CLK" rev="13">
<attrib name="CLK" value="41"/><attrib name="CLK_INV" value="0"/></item>
</group>
<group name="SLICEM">
<item name="CLK" rev="9">
<item name="CLK" rev="13">
<attrib name="CLK" value="4"/><attrib name="CLK_INV" value="0"/></item>
</group>
<group name="IOB_OUTBUF">
<item name="DRIVEATTRBOX" rev="9">
<attrib name="12" value="167"/></item>
<item name="SLEW" rev="9">
<attrib name="SLOW" value="167"/></item>
<item name="SUSPEND" rev="9">
<attrib name="3STATE" value="198"/></item>
<item name="DRIVEATTRBOX" rev="13">
<attrib name="12" value="166"/></item>
<item name="SLEW" rev="13">
<attrib name="SLOW" value="166"/></item>
<item name="SUSPEND" rev="13">
<attrib name="3STATE" value="197"/></item>
</group>
<group name="SLICEX">
<item name="CLK" rev="9">
<attrib name="CLK" value="209"/><attrib name="CLK_INV" value="0"/></item>
<item name="CLK" rev="13">
<attrib name="CLK" value="201"/><attrib name="CLK_INV" value="0"/></item>
</group>
<group name="IOB_INBUF">
<item name="DIFF_TERM" rev="9">
<item name="DIFF_TERM" rev="13">
<attrib name="TRUE" value="1"/></item>
</group>
<group name="FF_SR">
<item name="CK" rev="9">
<attrib name="CK" value="73"/><attrib name="CK_INV" value="0"/></item>
<item name="SRINIT" rev="9">
<attrib name="SRINIT0" value="62"/><attrib name="SRINIT1" value="11"/></item>
<item name="SYNC_ATTR" rev="9">
<attrib name="ASYNC" value="37"/><attrib name="SYNC" value="36"/></item>
<item name="CK" rev="13">
<attrib name="CK" value="89"/><attrib name="CK_INV" value="0"/></item>
<item name="SRINIT" rev="13">
<attrib name="SRINIT0" value="78"/><attrib name="SRINIT1" value="11"/></item>
<item name="SYNC_ATTR" rev="13">
<attrib name="ASYNC" value="49"/><attrib name="SYNC" value="40"/></item>
</group>
</ReportConfigData>
<ReportPinData TimeStamp="Thu Dec 16 18:45:18 2010"><group name="NULLMUX">
<item name="0" rev="9">
<ReportPinData TimeStamp="Fri Dec 17 10:03:44 2010"><group name="NULLMUX">
<item name="0" rev="13">
<attrib name="value" value="3"/></item>
<item name="OUT" rev="9">
<item name="OUT" rev="13">
<attrib name="value" value="3"/></item>
</group>
<group name="REG_SR">
<item name="CE" rev="9">
<attrib name="value" value="401"/></item>
<item name="CK" rev="9">
<attrib name="value" value="723"/></item>
<item name="D" rev="9">
<attrib name="value" value="723"/></item>
<item name="Q" rev="9">
<attrib name="value" value="723"/></item>
<item name="SR" rev="9">
<attrib name="value" value="472"/></item>
<item name="CE" rev="13">
<attrib name="value" value="389"/></item>
<item name="CK" rev="13">
<attrib name="value" value="707"/></item>
<item name="D" rev="13">
<attrib name="value" value="707"/></item>
<item name="Q" rev="13">
<attrib name="value" value="707"/></item>
<item name="SR" rev="13">
<attrib name="value" value="468"/></item>
</group>
<group name="LUT_OR_MEM5">
<item name="A1" rev="9">
<item name="A1" rev="13">
<attrib name="value" value="4"/></item>
<item name="A2" rev="9">
<item name="A2" rev="13">
<attrib name="value" value="4"/></item>
<item name="A3" rev="9">
<item name="A3" rev="13">
<attrib name="value" value="4"/></item>
<item name="A4" rev="9">
<item name="A4" rev="13">
<attrib name="value" value="4"/></item>
<item name="A5" rev="9">
<item name="A5" rev="13">
<attrib name="value" value="4"/></item>
<item name="CLK" rev="9">
<item name="CLK" rev="13">
<attrib name="value" value="4"/></item>
<item name="DI1" rev="9">
<item name="DI1" rev="13">
<attrib name="value" value="4"/></item>
<item name="O5" rev="9">
<item name="O5" rev="13">
<attrib name="value" value="4"/></item>
<item name="WA1" rev="9">
<item name="WA1" rev="13">
<attrib name="value" value="4"/></item>
<item name="WA2" rev="9">
<item name="WA2" rev="13">
<attrib name="value" value="4"/></item>
<item name="WA3" rev="9">
<item name="WA3" rev="13">
<attrib name="value" value="4"/></item>
<item name="WA4" rev="9">
<item name="WA4" rev="13">
<attrib name="value" value="4"/></item>
<item name="WA5" rev="9">
<item name="WA5" rev="13">
<attrib name="value" value="4"/></item>
<item name="WE" rev="9">
<item name="WE" rev="13">
<attrib name="value" value="4"/></item>
</group>
<group name="LUT_OR_MEM6">
<item name="A1" rev="9">
<item name="A1" rev="13">
<attrib name="value" value="11"/></item>
<item name="A2" rev="9">
<item name="A2" rev="13">
<attrib name="value" value="11"/></item>
<item name="A3" rev="9">
<item name="A3" rev="13">
<attrib name="value" value="11"/></item>
<item name="A4" rev="9">
<item name="A4" rev="13">
<attrib name="value" value="11"/></item>
<item name="A5" rev="9">
<item name="A5" rev="13">
<attrib name="value" value="11"/></item>
<item name="A6" rev="9">
<item name="A6" rev="13">
<attrib name="value" value="11"/></item>
<item name="CLK" rev="9">
<item name="CLK" rev="13">
<attrib name="value" value="11"/></item>
<item name="DI1" rev="9">
<item name="DI1" rev="13">
<attrib name="value" value="4"/></item>
<item name="DI2" rev="9">
<item name="DI2" rev="13">
<attrib name="value" value="7"/></item>
<item name="O6" rev="9">
<item name="O6" rev="13">
<attrib name="value" value="8"/></item>
<item name="WA1" rev="9">
<item name="WA1" rev="13">
<attrib name="value" value="8"/></item>
<item name="WA2" rev="9">
<item name="WA2" rev="13">
<attrib name="value" value="8"/></item>
<item name="WA3" rev="9">
<item name="WA3" rev="13">
<attrib name="value" value="8"/></item>
<item name="WA4" rev="9">
<item name="WA4" rev="13">
<attrib name="value" value="8"/></item>
<item name="WA5" rev="9">
<item name="WA5" rev="13">
<attrib name="value" value="8"/></item>
<item name="WA6" rev="9">
<item name="WA6" rev="13">
<attrib name="value" value="8"/></item>
<item name="WE" rev="9">
<item name="WE" rev="13">
<attrib name="value" value="11"/></item>
</group>
<group name="IOBM_OUTBUF">
<item name="IN" rev="9">
<item name="IN" rev="13">
<attrib name="value" value="2"/></item>
<item name="OUT" rev="9">
<item name="OUT" rev="13">
<attrib name="value" value="2"/></item>
<item name="OUTN" rev="9">
<item name="OUTN" rev="13">
<attrib name="value" value="2"/></item>
</group>
<group name="SLICEL">
<item name="A" rev="9">
<attrib name="value" value="6"/></item>
<item name="A1" rev="9">
<item name="A" rev="13">
<attrib name="value" value="9"/></item>
<item name="A2" rev="9">
<attrib name="value" value="10"/></item>
<item name="A3" rev="9">
<attrib name="value" value="13"/></item>
<item name="A4" rev="9">
<attrib name="value" value="46"/></item>
<item name="A5" rev="9">
<attrib name="value" value="27"/></item>
<item name="A6" rev="9">
<attrib name="value" value="59"/></item>
<item name="AMUX" rev="9">
<attrib name="value" value="18"/></item>
<item name="AQ" rev="9">
<attrib name="value" value="36"/></item>
<item name="AX" rev="9">
<attrib name="value" value="8"/></item>
<item name="B" rev="9">
<item name="A1" rev="13">
<attrib name="value" value="9"/></item>
<item name="B1" rev="9">
<item name="A2" rev="13">
<attrib name="value" value="12"/></item>
<item name="A3" rev="13">
<attrib name="value" value="16"/></item>
<item name="A4" rev="13">
<attrib name="value" value="49"/></item>
<item name="A5" rev="13">
<attrib name="value" value="30"/></item>
<item name="A6" rev="13">
<attrib name="value" value="62"/></item>
<item name="AMUX" rev="13">
<attrib name="value" value="19"/></item>
<item name="AQ" rev="13">
<attrib name="value" value="38"/></item>
<item name="AX" rev="13">
<attrib name="value" value="8"/></item>
<item name="B2" rev="9">
<item name="B" rev="13">
<attrib name="value" value="11"/></item>
<item name="B3" rev="9">
<item name="B1" rev="13">
<attrib name="value" value="9"/></item>
<item name="B2" rev="13">
<attrib name="value" value="11"/></item>
<item name="B3" rev="13">
<attrib name="value" value="13"/></item>
<item name="B4" rev="9">
<attrib name="value" value="48"/></item>
<item name="B5" rev="9">
<attrib name="value" value="28"/></item>
<item name="B6" rev="9">
<attrib name="value" value="58"/></item>
<item name="BMUX" rev="9">
<attrib name="value" value="18"/></item>
<item name="BQ" rev="9">
<item name="B4" rev="13">
<attrib name="value" value="50"/></item>
<item name="B5" rev="13">
<attrib name="value" value="30"/></item>
<item name="B6" rev="13">
<attrib name="value" value="60"/></item>
<item name="BMUX" rev="13">
<attrib name="value" value="17"/></item>
<item name="BQ" rev="13">
<attrib name="value" value="37"/></item>
<item name="BX" rev="9">
<item name="BX" rev="13">
<attrib name="value" value="6"/></item>
<item name="C1" rev="9">
<item name="C1" rev="13">
<attrib name="value" value="7"/></item>
<item name="C2" rev="9">
<item name="C2" rev="13">
<attrib name="value" value="8"/></item>
<item name="C3" rev="9">
<item name="C3" rev="13">
<attrib name="value" value="19"/></item>
<item name="C4" rev="9">
<item name="C4" rev="13">
<attrib name="value" value="49"/></item>
<item name="C5" rev="9">
<item name="C5" rev="13">
<attrib name="value" value="33"/></item>
<item name="C6" rev="9">
<item name="C6" rev="13">
<attrib name="value" value="62"/></item>
<item name="CE" rev="9">
<attrib name="value" value="15"/></item>
<item name="CIN" rev="9">
<item name="CE" rev="13">
<attrib name="value" value="16"/></item>
<item name="CIN" rev="13">
<attrib name="value" value="40"/></item>
<item name="CLK" rev="9">
<attrib name="value" value="40"/></item>
<item name="CMUX" rev="9">
<item name="CLK" rev="13">
<attrib name="value" value="41"/></item>
<item name="CMUX" rev="13">
<attrib name="value" value="32"/></item>
<item name="COUT" rev="9">
<item name="COUT" rev="13">
<attrib name="value" value="40"/></item>
<item name="CQ" rev="9">
<item name="CQ" rev="13">
<attrib name="value" value="35"/></item>
<item name="CX" rev="9">
<item name="CX" rev="13">
<attrib name="value" value="21"/></item>
<item name="D" rev="9">
<attrib name="value" value="1"/></item>
<item name="D1" rev="9">
<item name="D1" rev="13">
<attrib name="value" value="8"/></item>
<item name="D2" rev="9">
<item name="D2" rev="13">
<attrib name="value" value="21"/></item>
<item name="D3" rev="9">
<attrib name="value" value="24"/></item>
<item name="D4" rev="9">
<item name="D3" rev="13">
<attrib name="value" value="23"/></item>
<item name="D4" rev="13">
<attrib name="value" value="53"/></item>
<item name="D5" rev="9">
<attrib name="value" value="35"/></item>
<item name="D6" rev="9">
<attrib name="value" value="62"/></item>
<item name="DMUX" rev="9">
<attrib name="value" value="17"/></item>
<item name="DQ" rev="9">
<item name="D5" rev="13">
<attrib name="value" value="34"/></item>
<item name="DX" rev="9">
<attrib name="value" value="7"/></item>
<item name="SR" rev="9">
<attrib name="value" value="22"/></item>
<item name="D6" rev="13">
<attrib name="value" value="62"/></item>
<item name="DMUX" rev="13">
<attrib name="value" value="15"/></item>
<item name="DQ" rev="13">
<attrib name="value" value="36"/></item>
<item name="DX" rev="13">
<attrib name="value" value="8"/></item>
<item name="SR" rev="13">
<attrib name="value" value="23"/></item>
</group>
<group name="SLICEM">
<item name="A" rev="9">
<item name="A" rev="13">
<attrib name="value" value="2"/></item>
<item name="A1" rev="9">
<attrib name="value" value="3"/></item>
<item name="A2" rev="9">
<attrib name="value" value="3"/></item>
<item name="A3" rev="9">
<attrib name="value" value="3"/></item>
<item name="A4" rev="9">
<attrib name="value" value="3"/></item>
<item name="A5" rev="9">
<attrib name="value" value="3"/></item>
<item name="A6" rev="9">
<attrib name="value" value="3"/></item>
<item name="AI" rev="9">
<item name="A1" rev="13">
<attrib name="value" value="2"/></item>
<item name="A2" rev="13">
<attrib name="value" value="2"/></item>
<item name="A3" rev="13">
<attrib name="value" value="2"/></item>
<item name="AMUX" rev="9">
<item name="A4" rev="13">
<attrib name="value" value="2"/></item>
<item name="A5" rev="13">
<attrib name="value" value="2"/></item>
<item name="A6" rev="13">
<attrib name="value" value="2"/></item>
<item name="AI" rev="13">
<attrib name="value" value="1"/></item>
<item name="AQ" rev="9">
<item name="AMUX" rev="13">
<attrib name="value" value="1"/></item>
<item name="AX" rev="9">
<item name="AX" rev="13">
<attrib name="value" value="2"/></item>
<item name="B" rev="9">
<item name="B" rev="13">
<attrib name="value" value="2"/></item>
<item name="B1" rev="9">
<item name="B1" rev="13">
<attrib name="value" value="2"/></item>
<item name="B2" rev="9">
<item name="B2" rev="13">
<attrib name="value" value="2"/></item>
<item name="B3" rev="9">
<item name="B3" rev="13">
<attrib name="value" value="2"/></item>
<item name="B4" rev="9">
<item name="B4" rev="13">
<attrib name="value" value="2"/></item>
<item name="B5" rev="9">
<item name="B5" rev="13">
<attrib name="value" value="2"/></item>
<item name="B6" rev="9">
<item name="B6" rev="13">
<attrib name="value" value="2"/></item>
<item name="BI" rev="9">
<item name="BI" rev="13">
<attrib name="value" value="1"/></item>
<item name="BMUX" rev="9">
<item name="BMUX" rev="13">
<attrib name="value" value="1"/></item>
<item name="BX" rev="9">
<item name="BX" rev="13">
<attrib name="value" value="1"/></item>
<item name="C" rev="9">
<item name="C" rev="13">
<attrib name="value" value="1"/></item>
<item name="C1" rev="9">
<item name="C1" rev="13">
<attrib name="value" value="3"/></item>
<item name="C2" rev="9">
<item name="C2" rev="13">
<attrib name="value" value="3"/></item>
<item name="C3" rev="9">
<item name="C3" rev="13">
<attrib name="value" value="3"/></item>
<item name="C4" rev="9">
<item name="C4" rev="13">
<attrib name="value" value="3"/></item>
<item name="C5" rev="9">
<item name="C5" rev="13">
<attrib name="value" value="3"/></item>
<item name="C6" rev="9">
<item name="C6" rev="13">
<attrib name="value" value="3"/></item>
<item name="CE" rev="9">
<item name="CE" rev="13">
<attrib name="value" value="4"/></item>
<item name="CI" rev="9">
<item name="CI" rev="13">
<attrib name="value" value="2"/></item>
<item name="CLK" rev="9">
<item name="CLK" rev="13">
<attrib name="value" value="4"/></item>
<item name="CMUX" rev="9">
<item name="CMUX" rev="13">
<attrib name="value" value="1"/></item>
<item name="CQ" rev="9">
<item name="CQ" rev="13">
<attrib name="value" value="1"/></item>
<item name="CX" rev="9">
<item name="CX" rev="13">
<attrib name="value" value="2"/></item>
<item name="D1" rev="9">
<attrib name="value" value="3"/></item>
<item name="D2" rev="9">
<attrib name="value" value="3"/></item>
<item name="D3" rev="9">
<attrib name="value" value="3"/></item>
<item name="D4" rev="9">
<attrib name="value" value="3"/></item>
<item name="D5" rev="9">
<attrib name="value" value="3"/></item>
<item name="D6" rev="9">
<item name="D1" rev="13">
<attrib name="value" value="4"/></item>
<item name="D2" rev="13">
<attrib name="value" value="4"/></item>
<item name="D3" rev="13">
<attrib name="value" value="4"/></item>
<item name="D4" rev="13">
<attrib name="value" value="4"/></item>
<item name="D5" rev="13">
<attrib name="value" value="4"/></item>
<item name="D6" rev="13">
<attrib name="value" value="4"/></item>
<item name="DI" rev="13">
<attrib name="value" value="3"/></item>
<item name="DI" rev="9">
<attrib name="value" value="2"/></item>
<item name="DMUX" rev="9">
<attrib name="value" value="1"/></item>
<item name="DQ" rev="9">
<item name="DMUX" rev="13">
<attrib name="value" value="1"/></item>
<item name="DX" rev="9">
<item name="DQ" rev="13">
<attrib name="value" value="2"/></item>
<item name="DX" rev="13">
<attrib name="value" value="2"/></item>
</group>
<group name="IOB_OUTBUF">
<item name="IN" rev="9">
<attrib name="value" value="198"/></item>
<item name="OUT" rev="9">
<attrib name="value" value="198"/></item>
<item name="TRI" rev="9">
<item name="IN" rev="13">
<attrib name="value" value="197"/></item>
<item name="OUT" rev="13">
<attrib name="value" value="197"/></item>
<item name="TRI" rev="13">
<attrib name="value" value="44"/></item>
</group>
<group name="SLICEX">
<item name="A" rev="9">
<attrib name="value" value="111"/></item>
<item name="A1" rev="9">
<attrib name="value" value="97"/></item>
<item name="A2" rev="9">
<attrib name="value" value="141"/></item>
<item name="A3" rev="9">
<attrib name="value" value="176"/></item>
<item name="A4" rev="9">
<attrib name="value" value="190"/></item>
<item name="A5" rev="9">
<attrib name="value" value="190"/></item>
<item name="A6" rev="9">
<attrib name="value" value="198"/></item>
<item name="AMUX" rev="9">
<attrib name="value" value="45"/></item>
<item name="AQ" rev="9">
<attrib name="value" value="169"/></item>
<item name="AX" rev="9">
<attrib name="value" value="70"/></item>
<item name="B" rev="9">
<attrib name="value" value="85"/></item>
<item name="B1" rev="9">
<attrib name="value" value="73"/></item>
<item name="B2" rev="9">
<attrib name="value" value="116"/></item>
<item name="B3" rev="9">
<attrib name="value" value="143"/></item>
<item name="B4" rev="9">
<attrib name="value" value="149"/></item>
<item name="B5" rev="9">
<attrib name="value" value="152"/></item>
<item name="B6" rev="9">
<item name="A" rev="13">
<attrib name="value" value="122"/></item>
<item name="A1" rev="13">
<attrib name="value" value="99"/></item>
<item name="A2" rev="13">
<attrib name="value" value="153"/></item>
<item name="A3" rev="13">
<attrib name="value" value="191"/></item>
<item name="A4" rev="13">
<attrib name="value" value="206"/></item>
<item name="A5" rev="13">
<attrib name="value" value="208"/></item>
<item name="A6" rev="13">
<attrib name="value" value="209"/></item>
<item name="AMUX" rev="13">
<attrib name="value" value="48"/></item>
<item name="AQ" rev="13">
<attrib name="value" value="159"/></item>
<item name="AX" rev="13">
<attrib name="value" value="62"/></item>
<item name="B" rev="13">
<attrib name="value" value="88"/></item>
<item name="B1" rev="13">
<attrib name="value" value="75"/></item>
<item name="B2" rev="13">
<attrib name="value" value="114"/></item>
<item name="B3" rev="13">
<attrib name="value" value="150"/></item>
<item name="BMUX" rev="9">
<attrib name="value" value="36"/></item>
<item name="BQ" rev="9">
<item name="B4" rev="13">
<attrib name="value" value="157"/></item>
<item name="B5" rev="13">
<attrib name="value" value="163"/></item>
<item name="B6" rev="13">
<attrib name="value" value="157"/></item>
<item name="BMUX" rev="13">
<attrib name="value" value="38"/></item>
<item name="BQ" rev="13">
<attrib name="value" value="133"/></item>
<item name="BX" rev="9">
<attrib name="value" value="67"/></item>
<item name="C" rev="9">
<attrib name="value" value="58"/></item>
<item name="C1" rev="9">
<attrib name="value" value="82"/></item>
<item name="C2" rev="9">
<attrib name="value" value="101"/></item>
<item name="C3" rev="9">
<attrib name="value" value="129"/></item>
<item name="C4" rev="9">
<attrib name="value" value="141"/></item>
<item name="C5" rev="9">
<item name="BX" rev="13">
<attrib name="value" value="62"/></item>
<item name="C" rev="13">
<attrib name="value" value="63"/></item>
<item name="C1" rev="13">
<attrib name="value" value="75"/></item>
<item name="C2" rev="13">
<attrib name="value" value="97"/></item>
<item name="C3" rev="13">
<attrib name="value" value="125"/></item>
<item name="C4" rev="13">
<attrib name="value" value="137"/></item>
<item name="C5" rev="13">
<attrib name="value" value="144"/></item>
<item name="C6" rev="13">
<attrib name="value" value="143"/></item>
<item name="C6" rev="9">
<attrib name="value" value="142"/></item>
<item name="CE" rev="9">
<attrib name="value" value="110"/></item>
<item name="CLK" rev="9">
<attrib name="value" value="209"/></item>
<item name="CMUX" rev="9">
<item name="CE" rev="13">
<attrib name="value" value="104"/></item>
<item name="CLK" rev="13">
<attrib name="value" value="201"/></item>
<item name="CMUX" rev="13">
<attrib name="value" value="30"/></item>
<item name="CQ" rev="9">
<attrib name="value" value="149"/></item>
<item name="CX" rev="9">
<attrib name="value" value="64"/></item>
<item name="D" rev="9">
<attrib name="value" value="91"/></item>
<item name="D1" rev="9">
<attrib name="value" value="72"/></item>
<item name="D2" rev="9">
<attrib name="value" value="107"/></item>
<item name="D3" rev="9">
<attrib name="value" value="134"/></item>
<item name="D4" rev="9">
<attrib name="value" value="148"/></item>
<item name="D5" rev="9">
<attrib name="value" value="150"/></item>
<item name="D6" rev="9">
<attrib name="value" value="155"/></item>
<item name="DMUX" rev="9">
<attrib name="value" value="38"/></item>
<item name="DQ" rev="9">
<item name="CQ" rev="13">
<attrib name="value" value="139"/></item>
<item name="CX" rev="13">
<attrib name="value" value="57"/></item>
<item name="D" rev="13">
<attrib name="value" value="76"/></item>
<item name="D1" rev="13">
<attrib name="value" value="58"/></item>
<item name="D2" rev="13">
<attrib name="value" value="90"/></item>
<item name="D3" rev="13">
<attrib name="value" value="122"/></item>
<item name="D4" rev="13">
<attrib name="value" value="132"/></item>
<item name="D5" rev="13">
<attrib name="value" value="140"/></item>
<item name="D6" rev="13">
<attrib name="value" value="139"/></item>
<item name="DMUX" rev="13">
<attrib name="value" value="43"/></item>
<item name="DQ" rev="13">
<attrib name="value" value="127"/></item>
<item name="DX" rev="9">
<attrib name="value" value="60"/></item>
<item name="SR" rev="9">
<attrib name="value" value="152"/></item>
<item name="DX" rev="13">
<attrib name="value" value="61"/></item>
<item name="SR" rev="13">
<attrib name="value" value="147"/></item>
</group>
<group name="BUFG_BUFG">
<item name="I0" rev="9">
<item name="I0" rev="13">
<attrib name="value" value="4"/></item>
<item name="O" rev="9">
<item name="O" rev="13">
<attrib name="value" value="4"/></item>
</group>
<group name="PAD">
<item name="PAD" rev="9">
<attrib name="value" value="330"/></item>
<item name="PAD" rev="13">
<attrib name="value" value="329"/></item>
</group>
<group name="IOB_INBUF">
<item name="DIFFI_IN" rev="9">
<item name="DIFFI_IN" rev="13">
<attrib name="value" value="1"/></item>
<item name="OUT" rev="9">
<item name="OUT" rev="13">
<attrib name="value" value="159"/></item>
<item name="PAD" rev="9">
<item name="PAD" rev="13">
<attrib name="value" value="159"/></item>
</group>
<group name="IOBM">
<item name="DIFFO_OUT" rev="9">
<item name="DIFFO_OUT" rev="13">
<attrib name="value" value="2"/></item>
<item name="O" rev="9">
<item name="O" rev="13">
<attrib name="value" value="2"/></item>
<item name="PAD" rev="9">
<item name="PAD" rev="13">
<attrib name="value" value="2"/></item>
</group>
<group name="CARRY4">
<item name="CIN" rev="9">
<item name="CIN" rev="13">
<attrib name="value" value="40"/></item>
<item name="CO1" rev="9">
<item name="CO1" rev="13">
<attrib name="value" value="2"/></item>
<item name="CO2" rev="9">
<item name="CO2" rev="13">
<attrib name="value" value="1"/></item>
<item name="CO3" rev="9">
<item name="CO3" rev="13">
<attrib name="value" value="41"/></item>
<item name="CYINIT" rev="9">
<item name="CYINIT" rev="13">
<attrib name="value" value="12"/></item>
<item name="DI0" rev="9">
<item name="DI0" rev="13">
<attrib name="value" value="51"/></item>
<item name="DI1" rev="9">
<item name="DI1" rev="13">
<attrib name="value" value="47"/></item>
<item name="DI2" rev="9">
<item name="DI2" rev="13">
<attrib name="value" value="47"/></item>
<item name="DI3" rev="9">
<item name="DI3" rev="13">
<attrib name="value" value="41"/></item>
<item name="O0" rev="9">
<item name="O0" rev="13">
<attrib name="value" value="48"/></item>
<item name="O1" rev="9">
<item name="O1" rev="13">
<attrib name="value" value="47"/></item>
<item name="O2" rev="9">
<item name="O2" rev="13">
<attrib name="value" value="43"/></item>
<item name="O3" rev="9">
<item name="O3" rev="13">
<attrib name="value" value="43"/></item>
<item name="S0" rev="9">
<item name="S0" rev="13">
<attrib name="value" value="52"/></item>
<item name="S1" rev="9">
<item name="S1" rev="13">
<attrib name="value" value="51"/></item>
<item name="S2" rev="9">
<item name="S2" rev="13">
<attrib name="value" value="47"/></item>
<item name="S3" rev="9">
<item name="S3" rev="13">
<attrib name="value" value="46"/></item>
</group>
<group name="IOBS">
<item name="DIFFO_IN" rev="9">
<item name="DIFFO_IN" rev="13">
<attrib name="value" value="2"/></item>
<item name="PAD" rev="9">
<item name="PAD" rev="13">
<attrib name="value" value="2"/></item>
</group>
<group name="LUT5">
<item name="A1" rev="9">
<attrib name="value" value="48"/></item>
<item name="A2" rev="9">
<attrib name="value" value="49"/></item>
<item name="A3" rev="9">
<attrib name="value" value="124"/></item>
<item name="A4" rev="9">
<attrib name="value" value="130"/></item>
<item name="A5" rev="9">
<attrib name="value" value="64"/></item>
<item name="O5" rev="9">
<attrib name="value" value="333"/></item>
<item name="A1" rev="13">
<attrib name="value" value="32"/></item>
<item name="A2" rev="13">
<attrib name="value" value="56"/></item>
<item name="A3" rev="13">
<attrib name="value" value="109"/></item>
<item name="A4" rev="13">
<attrib name="value" value="122"/></item>
<item name="A5" rev="13">
<attrib name="value" value="88"/></item>
<item name="O5" rev="13">
<attrib name="value" value="341"/></item>
</group>
<group name="LUT6">
<item name="A1" rev="9">
<item name="A1" rev="13">
<attrib name="value" value="311"/></item>
<item name="A2" rev="9">
<attrib name="value" value="482"/></item>
<item name="A3" rev="9">
<attrib name="value" value="576"/></item>
<item name="A4" rev="9">
<attrib name="value" value="824"/></item>
<item name="A5" rev="9">
<attrib name="value" value="751"/></item>
<item name="A6" rev="9">
<attrib name="value" value="886"/></item>
<item name="O6" rev="9">
<attrib name="value" value="900"/></item>
<item name="A2" rev="13">
<attrib name="value" value="466"/></item>
<item name="A3" rev="13">
<attrib name="value" value="584"/></item>
<item name="A4" rev="13">
<attrib name="value" value="832"/></item>
<item name="A5" rev="13">
<attrib name="value" value="759"/></item>
<item name="A6" rev="13">
<attrib name="value" value="894"/></item>
<item name="O6" rev="13">
<attrib name="value" value="908"/></item>
</group>
<group name="SELMUX2_1">
<item name="0" rev="9">
<item name="0" rev="13">
<attrib name="value" value="18"/></item>
<item name="1" rev="9">
<item name="1" rev="13">
<attrib name="value" value="18"/></item>
<item name="OUT" rev="9">
<item name="OUT" rev="13">
<attrib name="value" value="18"/></item>
<item name="S0" rev="9">
<item name="S0" rev="13">
<attrib name="value" value="18"/></item>
</group>
<group name="IOB_IMUX">
<item name="I" rev="9">
<item name="I" rev="13">
<attrib name="value" value="159"/></item>
<item name="OUT" rev="9">
<item name="OUT" rev="13">
<attrib name="value" value="159"/></item>
</group>
<group name="IOB">
<item name="DIFFI_IN" rev="9">
<item name="DIFFI_IN" rev="13">
<attrib name="value" value="1"/></item>
<item name="I" rev="9">
<item name="I" rev="13">
<attrib name="value" value="159"/></item>
<item name="O" rev="9">
<attrib name="value" value="198"/></item>
<item name="PAD" rev="9">
<attrib name="value" value="326"/></item>
<item name="PADOUT" rev="9">
<item name="O" rev="13">
<attrib name="value" value="197"/></item>
<item name="PAD" rev="13">
<attrib name="value" value="325"/></item>
<item name="PADOUT" rev="13">
<attrib name="value" value="1"/></item>
<item name="T" rev="9">
<item name="T" rev="13">
<attrib name="value" value="44"/></item>
</group>
<group name="HARD0">
<item name="0" rev="9">
<item name="0" rev="13">
<attrib name="value" value="11"/></item>
</group>
<group name="FF_SR">
<item name="CE" rev="9">
<item name="CE" rev="13">
<attrib name="value" value="52"/></item>
<item name="CK" rev="13">
<attrib name="value" value="89"/></item>
<item name="D" rev="13">
<attrib name="value" value="89"/></item>
<item name="Q" rev="13">
<attrib name="value" value="89"/></item>
<item name="SR" rev="13">
<attrib name="value" value="40"/></item>
<item name="CK" rev="9">
<attrib name="value" value="73"/></item>
<item name="D" rev="9">
<attrib name="value" value="73"/></item>
<item name="Q" rev="9">
<attrib name="value" value="73"/></item>
<item name="SR" rev="9">
<attrib name="value" value="36"/></item>
</group>
<group name="BUFG">
<item name="I0" rev="9">
<item name="I0" rev="13">
<attrib name="value" value="4"/></item>
<item name="O" rev="9">
<item name="O" rev="13">
<attrib name="value" value="4"/></item>
</group>
</ReportPinData>
......
......@@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="Xst" timeStamp="Thu Dec 16 18:40:47 2010">
<application stringID="Xst" timeStamp="Fri Dec 17 09:49:53 2010">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
......@@ -137,8 +137,8 @@
<item dataType="int" stringID="XST_5BIT_2TO1_MULTIPLEXER" value="1"/>
<item dataType="int" stringID="XST_7BIT_2TO1_MULTIPLEXER" value="1"/>
</item>
<item dataType="int" stringID="XST_TRISTATES" value="65">
<item dataType="int" stringID="XST_1BIT_TRISTATE_BUFFER" value="65"/>
<item dataType="int" stringID="XST_TRISTATES" value="66">
<item dataType="int" stringID="XST_1BIT_TRISTATE_BUFFER" value="66"/>
</item>
<item dataType="int" stringID="XST_FSMS" value="2"/>
<item dataType="int" stringID="XST_XORS" value="4">
......@@ -233,8 +233,8 @@
<item dataType="int" stringID="XST_IO_BUFFERS" value="305">
<item dataType="int" stringID="XST_IBUF" value="77"/>
<item dataType="int" label="-iobuf" stringID="XST_IOBUF" value="32"/>
<item dataType="int" stringID="XST_OBUF" value="152"/>
<item dataType="int" stringID="XST_OBUFT" value="33"/>
<item dataType="int" stringID="XST_OBUF" value="151"/>
<item dataType="int" stringID="XST_OBUFT" value="34"/>
</item>
</section>
</section>
......
......@@ -81,6 +81,7 @@
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1292421807" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1292421807">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1292421807" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-6605284883178938042" xil_pn:start_ts="1292421807">
<status xil_pn:value="SuccessfullyRun"/>
......@@ -106,7 +107,7 @@
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1292521276" xil_pn:in_ck="2606969364006439169" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="2192959706989509064" xil_pn:start_ts="1292521245">
<transform xil_pn:end_ts="1292575819" xil_pn:in_ck="2606969364006439169" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="2192959706989509064" xil_pn:start_ts="1292575791">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
......@@ -124,11 +125,11 @@
<outfile xil_pn:name="webtalk_pn.xml"/>
<outfile xil_pn:name="xst"/>
</transform>
<transform xil_pn:end_ts="1292520620" xil_pn:in_ck="119863998498621" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="4066557905353815859" xil_pn:start_ts="1292520620">
<transform xil_pn:end_ts="1292576389" xil_pn:in_ck="119863998498621" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="4066557905353815859" xil_pn:start_ts="1292576389">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1292521285" xil_pn:in_ck="6749615496043861780" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="5907793610130957210" xil_pn:start_ts="1292521276">
<transform xil_pn:end_ts="1292576396" xil_pn:in_ck="6749615496043861780" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="5907793610130957210" xil_pn:start_ts="1292576389">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
......@@ -138,7 +139,7 @@
<outfile xil_pn:name="_ngo"/>
<outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
</transform>
<transform xil_pn:end_ts="1292521380" xil_pn:in_ck="6749661907528263733" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="-510487083596868273" xil_pn:start_ts="1292521285">
<transform xil_pn:end_ts="1292576485" xil_pn:in_ck="6749661907528263733" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="-510487083596868273" xil_pn:start_ts="1292576396">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
......@@ -152,7 +153,7 @@
<outfile xil_pn:name="SFpga_usage.xml"/>
<outfile xil_pn:name="_xmsgs/map.xmsgs"/>
</transform>
<transform xil_pn:end_ts="1292521476" xil_pn:in_ck="-7894903822868506770" xil_pn:name="TRANEXT_par_spartan6" xil_pn:prop_ck="-3717135755049403020" xil_pn:start_ts="1292521380">
<transform xil_pn:end_ts="1292576581" xil_pn:in_ck="-7894903822868506770" xil_pn:name="TRANEXT_par_spartan6" xil_pn:prop_ck="-3717135755049403020" xil_pn:start_ts="1292576485">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
......@@ -167,7 +168,7 @@
<outfile xil_pn:name="SFpga_par.xrpt"/>
<outfile xil_pn:name="_xmsgs/par.xmsgs"/>
</transform>
<transform xil_pn:end_ts="1292521527" xil_pn:in_ck="119863998490996" xil_pn:name="TRANEXT_bitFile_spartan6" xil_pn:prop_ck="396117104113915555" xil_pn:start_ts="1292521476">
<transform xil_pn:end_ts="1292576632" xil_pn:in_ck="119863998490996" xil_pn:name="TRANEXT_bitFile_spartan6" xil_pn:prop_ck="396117104113915555" xil_pn:start_ts="1292576581">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
......@@ -190,7 +191,7 @@
<status xil_pn:value="InputRemoved"/>
<status xil_pn:value="OutputRemoved"/>
</transform>
<transform xil_pn:end_ts="1292521476" xil_pn:in_ck="6743535591587205937" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416185" xil_pn:start_ts="1292521451">
<transform xil_pn:end_ts="1292576581" xil_pn:in_ck="6743535591587205937" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416185" xil_pn:start_ts="1292576556">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="SFpga.twr"/>
......@@ -208,7 +209,7 @@
<outfile xil_pn:name="SFpga_preroute.twx"/>
<outfile xil_pn:name="_xmsgs/trce.xmsgs"/>
</transform>
<transform xil_pn:end_ts="1292518697" xil_pn:in_ck="6749661907528263733" xil_pn:name="TRAN_createTimingConstraints" xil_pn:start_ts="1292518697">
<transform xil_pn:end_ts="1292576356" xil_pn:in_ck="6749661907528263733" xil_pn:name="TRAN_createTimingConstraints" xil_pn:start_ts="1292576356">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
......
C:\VFC_SVN\firmware\XilinxISE\SystemFpga\SFpga.ngc 1292521275
C:\VFC_SVN\firmware\XilinxISE\SystemFpga\SFpga.ngc 1292575819
OK
......@@ -119,6 +119,9 @@ To see the details of these <arg fmt="%s" index="4">info</arg> messages, please
<msg type="warning" file="MapLib" num="701" delta="old" >Signal <arg fmt="%s" index="1">Fmc2SDa_io</arg> connected to top level port <arg fmt="%s" index="2">Fmc2SDa_io</arg> has been removed.
</msg>
<msg type="warning" file="MapLib" num="701" delta="old" >Signal <arg fmt="%s" index="1">Si57xOe_o</arg> connected to top level port <arg fmt="%s" index="2">Si57xOe_o</arg> has been removed.
</msg>
<msg type="warning" file="MapLib" num="701" delta="old" >Signal <arg fmt="%s" index="1">AFpgaProgProgram_o</arg> connected to top level port <arg fmt="%s" index="2">AFpgaProgProgram_o</arg> has been removed.
</msg>
......@@ -137,7 +140,7 @@ To see the details of these <arg fmt="%s" index="4">info</arg> messages, please
<msg type="info" file="Map" num="215" delta="old" >The Interim Design Summary has been generated in the MAP Report (.mrp).
</msg>
<msg type="info" file="Place" num="834" delta="old" >Only a subset of IOs are locked. Out of <arg fmt="%d" index="1">330</arg> IOs, <arg fmt="%d" index="2">328</arg> are locked and <arg fmt="%d" index="3">2</arg> are not locked. <arg fmt="%s" index="4">If you would like to print the names of these IOs, please set the environment variable XIL_PAR_DESIGN_CHECK_VERBOSE to 1.</arg>
<msg type="info" file="Place" num="834" delta="old" >Only a subset of IOs are locked. Out of <arg fmt="%d" index="1">329</arg> IOs, <arg fmt="%d" index="2">327</arg> are locked and <arg fmt="%d" index="3">2</arg> are not locked. <arg fmt="%s" index="4">If you would like to print the names of these IOs, please set the environment variable XIL_PAR_DESIGN_CHECK_VERBOSE to 1.</arg>
</msg>
<msg type="warning" file="Place" num="1109" delta="old" >A clock IOB / BUFGMUX clock component pair have been found that are not placed at an optimal clock IOB / BUFGMUX site pair. The clock IOB component &lt;<arg fmt="%s" index="1">VmeSysClk_ik</arg>&gt; is placed at site &lt;<arg fmt="%s" index="2">PAD550</arg>&gt;. The corresponding BUFG component &lt;<arg fmt="%s" index="3">VmeSysClk_ik_BUFGP/BUFG</arg>&gt; is placed at site &lt;<arg fmt="%s" index="4">BUFGMUX_X2Y9</arg>&gt;. There is only a select set of IOBs that can use the fast path to the Clocker buffer, and they are not being used. You may want to analyze why this problem exists and correct it. This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint was applied on COMP.PIN &lt;<arg fmt="%s" index="5">VmeSysClk_ik.PAD</arg>&gt; allowing your design to continue. This constraint disables all clock placer rules related to the specified COMP.PIN. The use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design.
......
......@@ -8,8 +8,5 @@
<!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -->
<messages>
<msg type="info" file="ProjectMgmt" num="1648" ><arg fmt="%s" index="1">Analyzing Verilog file \&quot;C:/VFC_SVN/hdl/design/SystemFpga.v\&quot; into library work</arg>
</msg>
</messages>
......@@ -266,10 +266,10 @@
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">Gbit34RefClk_ik</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="info" file="Xst" num="3010" delta="new" >&quot;<arg fmt="%s" index="1">/vfc_svn/hdl/design/systemfpga.v</arg>&quot; line <arg fmt="%d" index="2">485</arg>: Output port &lt;<arg fmt="%s" index="3">osc_clk</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">i_InterruptManager</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3010" delta="old" >&quot;<arg fmt="%s" index="1">/vfc_svn/hdl/design/systemfpga.v</arg>&quot; line <arg fmt="%d" index="2">485</arg>: Output port &lt;<arg fmt="%s" index="3">osc_clk</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">i_InterruptManager</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3010" delta="new" >&quot;<arg fmt="%s" index="1">/vfc_svn/hdl/design/systemfpga.v</arg>&quot; line <arg fmt="%d" index="2">533</arg>: Output port &lt;<arg fmt="%s" index="3">Reg3Value_ob32</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">i_Generic4OutputRegs</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3010" delta="old" >&quot;<arg fmt="%s" index="1">/vfc_svn/hdl/design/systemfpga.v</arg>&quot; line <arg fmt="%d" index="2">533</arg>: Output port &lt;<arg fmt="%s" index="3">Reg3Value_ob32</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">i_Generic4OutputRegs</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">GenericInputReg1</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
......
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2010-12-15T15:18:57</DateModified>
<DateModified>2010-12-17T09:49:40</DateModified>
<ModuleName>SFpga</ModuleName>
<SummaryTimeStamp>2010-12-15T15:17:10</SummaryTimeStamp>
<SavedFilePath>C:/VFC_SVN/firmware/XilinxISE/SystemFpga/iseconfig/SFpga.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/VFC_SVN/firmware/XilinxISE/SystemFpga</ImplementationReportsDirectory>
<ImplementationReportsDirectory>C:/VFC_SVN/firmware/XilinxISE/SystemFpga\</ImplementationReportsDirectory>
<DateInitialized>2010-12-15T15:02:31</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>
......
......@@ -2,30 +2,30 @@
<xtag-section name="ParStatistics">
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=1><B>Par Statistics</B></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>1486</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>4726</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>4726</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>4167</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>25.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>32.3 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>44.6 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>55.9 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>61.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>61.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>61.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>61.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>61.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>62.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>4724</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>4724</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>4258</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>25.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>31.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>43.9 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>55.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>61.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>61.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>61.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>61.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>61.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>62.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>15.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>10.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>7.3</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>3.8</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>8.8</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>8.6</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>5.8</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 500</xtag-par-property-name>=<xtag-par-property-value>5.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>6.7</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>3.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>9.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>8.7</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>5.6</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 500</xtag-par-property-name>=<xtag-par-property-value>4.6</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 5000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 20000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.1119</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.1180</xtag-par-property-value></TD></TR>
</xtag-section>
</TABLE>
......@@ -5,7 +5,7 @@ C:\Xilinx\12.3\ISE_DS\ISE\.
"SFpga" is an NCD, version 3.2, device xc6slx150t, package fgg676, speed -3
Opened constraints file SFpga.pcf.
Thu Dec 16 18:44:48 2010
Fri Dec 17 10:03:13 2010
C:\Xilinx\12.3\ISE_DS\ISE\bin\nt\unwrapped\bitgen.exe -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g Reset_on_err:No -g ConfigRate:2 -g ProgPin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g ExtMasterCclk_en:No -g SPI_buswidth:1 -g TIMER_CFG:0xFFFF -g multipin_wakeup:No -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:No -g DriveDone:No -g Encrypt:No -g en_sw_gsr:No -g drive_awake:No -g sw_clk:Startupclk -g sw_gwe_cycle:5 -g sw_gts_cycle:4 SFpga.ncd
......
Release 12.3 Drc M.70d (nt)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
Thu Dec 16 18:44:48 2010
Fri Dec 17 10:03:13 2010
drc -z SFpga.ncd SFpga.pcf
......
......@@ -17,7 +17,7 @@
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project ID (random number)</B></TD>
<TD><xtag-property name="RandomID">d36a4175861f4f48ac5a6ada421762f9</xtag-property>.<xtag-property name="ProjectID">F6031676C5FE434A8E9F8A1057A8E48F</xtag-property>.<xtag-property name="ProjectIteration">3</xtag-property></TD>
<TD><xtag-property name="RandomID">d36a4175861f4f48ac5a6ada421762f9</xtag-property>.<xtag-property name="ProjectID">F6031676C5FE434A8E9F8A1057A8E48F</xtag-property>.<xtag-property name="ProjectIteration">5</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Target Package:</B></TD>
<TD><xtag-property name="TargetPackage">fgg676</xtag-property></TD>
</TR>
......@@ -29,7 +29,7 @@
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Date Generated</B></TD>
<TD><xtag-property name="Date Generated">2010-12-16T18:45:20</xtag-property></TD>
<TD><xtag-property name="Date Generated">2010-12-17T10:03:46</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Tool Flow</B></TD>
<TD><xtag-property name="ToolFlow">ISE</xtag-property></TD>
</TR>
......@@ -133,41 +133,41 @@
<TD>
<xtag-group><xtag-group-name name="MiscellaneousStatistics">MiscellaneousStatistics</xtag-group-name>
<UL>
<LI><xtag-item1>AGG_BONDED_IO=330</xtag-item1></LI>
<LI><xtag-item1>AGG_IO=330</xtag-item1></LI>
<LI><xtag-item1>AGG_LOCED_IO=328</xtag-item1></LI>
<LI><xtag-item1>AGG_SLICE=371</xtag-item1></LI>
<LI><xtag-item1>NUM_BONDED_IOB=326</xtag-item1></LI>
<LI><xtag-item1>AGG_BONDED_IO=329</xtag-item1></LI>
<LI><xtag-item1>AGG_IO=329</xtag-item1></LI>
<LI><xtag-item1>AGG_LOCED_IO=327</xtag-item1></LI>
<LI><xtag-item1>AGG_SLICE=363</xtag-item1></LI>
<LI><xtag-item1>NUM_BONDED_IOB=325</xtag-item1></LI>
<LI><xtag-item1>NUM_BONDED_IOBM=2</xtag-item1></LI>
<LI><xtag-item1>NUM_BONDED_IOBS=2</xtag-item1></LI>
<LI><xtag-item1>NUM_BSFULL=535</xtag-item1></LI>
<LI><xtag-item1>NUM_BSLUTONLY=382</xtag-item1></LI>
<LI><xtag-item1>NUM_BSREGONLY=196</xtag-item1></LI>
<LI><xtag-item1>NUM_BSUSED=1113</xtag-item1></LI>
<LI><xtag-item1>NUM_BSFULL=572</xtag-item1></LI>
<LI><xtag-item1>NUM_BSLUTONLY=369</xtag-item1></LI>
<LI><xtag-item1>NUM_BSREGONLY=143</xtag-item1></LI>
<LI><xtag-item1>NUM_BSUSED=1084</xtag-item1></LI>
<LI><xtag-item1>NUM_BUFG=4</xtag-item1></LI>
<LI><xtag-item1>NUM_DPRAM_O5ANDO6=4</xtag-item1></LI>
<LI><xtag-item1>NUM_DPRAM_O6ONLY=4</xtag-item1></LI>
<LI><xtag-item1>NUM_LOCED_IOB=324</xtag-item1></LI>
<LI><xtag-item1>NUM_LOCED_IOB=323</xtag-item1></LI>
<LI><xtag-item1>NUM_LOCED_IOBM=2</xtag-item1></LI>
<LI><xtag-item1>NUM_LOCED_IOBS=2</xtag-item1></LI>
<LI><xtag-item1>NUM_LOGIC_O5ANDO6=166</xtag-item1></LI>
<LI><xtag-item1>NUM_LOGIC_O5ANDO6=158</xtag-item1></LI>
<LI><xtag-item1>NUM_LOGIC_O5ONLY=154</xtag-item1></LI>
<LI><xtag-item1>NUM_LOGIC_O6ONLY=571</xtag-item1></LI>
<LI><xtag-item1>NUM_LOGIC_O6ONLY=587</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_DRIVES_CARRY4=9</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_DRIVES_FLOP=6</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_EXO5=6</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_DRIVES_FLOP=22</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_EXO5=22</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_EXO6=9</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_O5=7</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_O6=154</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICEL=70</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICEM=4</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICEX=297</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICEX=289</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_CARRY4=52</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_CONTROLSET=32</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_CYINIT=1259</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_CYINIT=1275</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_F7MUX=18</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_FF=796</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_UNUSEDCTRL=118</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_UNUSEDCTRL=117</xtag-item1></LI>
<LI><xtag-item1>NUM_SRL_O6ONLY=3</xtag-item1></LI>
<LI><xtag-item1>NUM_UNUSABLE_FF_BELS=85</xtag-item1></LI>
</UL>
......@@ -176,42 +176,42 @@
<TD>
<xtag-group><xtag-group-name name="NetStatistics">NetStatistics</xtag-group-name>
<UL>
<LI><xtag-item1>NumNets_Active=1863</xtag-item1></LI>
<LI><xtag-item1>NumNets_Active=1862</xtag-item1></LI>
<LI><xtag-item1>NumNets_Gnd=1</xtag-item1></LI>
<LI><xtag-item1>NumNets_Vcc=1</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_BOUNCEACROSS=39</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_BOUNCEIN=205</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_BOUNCEACROSS=20</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_BOUNCEIN=236</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_BUFGOUT=4</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_BUFHINP2OUT=15</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_CLKPIN=253</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_CLKPIN=246</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_CLKPINFEED=19</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_CNTRLPIN=301</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_DOUBLE=1944</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_CNTRLPIN=292</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_DOUBLE=1958</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_GENERIC=358</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_GLOBAL=137</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_GLOBAL=131</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_INPUT=50</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_IOBIN2OUT=245</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_IOBOUTPUT=245</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_LUTINPUT=3687</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_LUTINPUT=3719</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_OUTBOUND=1558</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_OUTPUT=1419</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_OUTPUT=1411</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_PADINPUT=137</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_PADOUTPUT=116</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_PINBOUNCE=850</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_PINFEED=4252</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_QUAD=5340</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_REGINPUT=298</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_SINGLE=2304</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_PINBOUNCE=815</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_PINFEED=4273</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_QUAD=5304</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_REGINPUT=280</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_SINGLE=2257</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_CNTRLPIN=2</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_GENERIC=12</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_HVCCOUT=132</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_HVCCOUT=135</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_IOBIN2OUT=12</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_IOBOUTPUT=12</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_KVCCOUT=6</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_LUTINPUT=342</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_LUTINPUT=334</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_PADINPUT=12</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_PINBOUNCE=13</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_PINFEED=354</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_PINFEED=346</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_REGINPUT=11</xtag-item1></LI>
</UL>
</xtag-group>
......@@ -219,10 +219,10 @@
<UL>
<LI><xtag-item1>BUFG-BUFGMUX=4</xtag-item1></LI>
<LI><xtag-item1>IOB-IOBM=161</xtag-item1></LI>
<LI><xtag-item1>IOB-IOBS=165</xtag-item1></LI>
<LI><xtag-item1>SLICEL-SLICEM=33</xtag-item1></LI>
<LI><xtag-item1>SLICEX-SLICEL=76</xtag-item1></LI>
<LI><xtag-item1>SLICEX-SLICEM=65</xtag-item1></LI>
<LI><xtag-item1>IOB-IOBS=164</xtag-item1></LI>
<LI><xtag-item1>SLICEL-SLICEM=18</xtag-item1></LI>
<LI><xtag-item1>SLICEX-SLICEL=63</xtag-item1></LI>
<LI><xtag-item1>SLICEX-SLICEM=66</xtag-item1></LI>
</UL>
</xtag-group>
</TD>
......@@ -234,26 +234,26 @@
<LI><xtag-item2>BUFG=4</xtag-item2></LI>
<LI><xtag-item2>BUFG_BUFG=4</xtag-item2></LI>
<LI><xtag-item2>CARRY4=52</xtag-item2></LI>
<LI><xtag-item2>FF_SR=73</xtag-item2></LI>
<LI><xtag-item2>FF_SR=89</xtag-item2></LI>
<LI><xtag-item2>HARD0=11</xtag-item2></LI>
<LI><xtag-item2>IOB=326</xtag-item2></LI>
<LI><xtag-item2>IOB=325</xtag-item2></LI>
<LI><xtag-item2>IOBM=2</xtag-item2></LI>
<LI><xtag-item2>IOBM_OUTBUF=2</xtag-item2></LI>
<LI><xtag-item2>IOBS=2</xtag-item2></LI>
<LI><xtag-item2>IOB_IMUX=159</xtag-item2></LI>
<LI><xtag-item2>IOB_INBUF=159</xtag-item2></LI>
<LI><xtag-item2>IOB_OUTBUF=198</xtag-item2></LI>
<LI><xtag-item2>LUT5=333</xtag-item2></LI>
<LI><xtag-item2>LUT6=900</xtag-item2></LI>
<LI><xtag-item2>IOB_OUTBUF=197</xtag-item2></LI>
<LI><xtag-item2>LUT5=341</xtag-item2></LI>
<LI><xtag-item2>LUT6=908</xtag-item2></LI>
<LI><xtag-item2>LUT_OR_MEM5=4</xtag-item2></LI>
<LI><xtag-item2>LUT_OR_MEM6=11</xtag-item2></LI>
<LI><xtag-item2>NULLMUX=3</xtag-item2></LI>
<LI><xtag-item2>PAD=330</xtag-item2></LI>
<LI><xtag-item2>REG_SR=723</xtag-item2></LI>
<LI><xtag-item2>PAD=329</xtag-item2></LI>
<LI><xtag-item2>REG_SR=707</xtag-item2></LI>
<LI><xtag-item2>SELMUX2_1=18</xtag-item2></LI>
<LI><xtag-item2>SLICEL=70</xtag-item2></LI>
<LI><xtag-item2>SLICEM=4</xtag-item2></LI>
<LI><xtag-item2>SLICEX=297</xtag-item2></LI>
<LI><xtag-item2>SLICEX=289</xtag-item2></LI>
</UL>
</xtag-group>
</TD>
......@@ -265,9 +265,9 @@
<TD>
<xtag-group><xtag-group-name name="FF_SR">FF_SR</xtag-group-name>
<UL>
<LI><xtag-item3>CK=[CK:73] [CK_INV:0]</xtag-item3></LI>
<LI><xtag-item3>SRINIT=[SRINIT0:62] [SRINIT1:11]</xtag-item3></LI>
<LI><xtag-item3>SYNC_ATTR=[ASYNC:37] [SYNC:36]</xtag-item3></LI>
<LI><xtag-item3>CK=[CK:89] [CK_INV:0]</xtag-item3></LI>
<LI><xtag-item3>SRINIT=[SRINIT0:78] [SRINIT1:11]</xtag-item3></LI>
<LI><xtag-item3>SYNC_ATTR=[ASYNC:49] [SYNC:40]</xtag-item3></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="IOBM_OUTBUF">IOBM_OUTBUF</xtag-group-name>
......@@ -284,9 +284,9 @@
</xtag-group>
<xtag-group><xtag-group-name name="IOB_OUTBUF">IOB_OUTBUF</xtag-group-name>
<UL>
<LI><xtag-item3>DRIVEATTRBOX=[12:167]</xtag-item3></LI>
<LI><xtag-item3>SLEW=[SLOW:167]</xtag-item3></LI>
<LI><xtag-item3>SUSPEND=[3STATE:198]</xtag-item3></LI>
<LI><xtag-item3>DRIVEATTRBOX=[12:166]</xtag-item3></LI>
<LI><xtag-item3>SLEW=[SLOW:166]</xtag-item3></LI>
<LI><xtag-item3>SUSPEND=[3STATE:197]</xtag-item3></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="LUT_OR_MEM5">LUT_OR_MEM5</xtag-group-name>
......@@ -307,17 +307,17 @@
</xtag-group>
<xtag-group><xtag-group-name name="REG_SR">REG_SR</xtag-group-name>
<UL>
<LI><xtag-item3>CK=[CK:723] [CK_INV:0]</xtag-item3></LI>
<LI><xtag-item3>LATCH_OR_FF=[FF:723]</xtag-item3></LI>
<LI><xtag-item3>SRINIT=[SRINIT0:671] [SRINIT1:52]</xtag-item3></LI>
<LI><xtag-item3>SYNC_ATTR=[ASYNC:252] [SYNC:471]</xtag-item3></LI>
<LI><xtag-item3>CK=[CK:707] [CK_INV:0]</xtag-item3></LI>
<LI><xtag-item3>LATCH_OR_FF=[FF:707]</xtag-item3></LI>
<LI><xtag-item3>SRINIT=[SRINIT0:655] [SRINIT1:52]</xtag-item3></LI>
<LI><xtag-item3>SYNC_ATTR=[ASYNC:240] [SYNC:467]</xtag-item3></LI>
</UL>
</TD>
<TD>
</xtag-group>
<xtag-group><xtag-group-name name="SLICEL">SLICEL</xtag-group-name>
<UL>
<LI><xtag-item3>CLK=[CLK:40] [CLK_INV:0]</xtag-item3></LI>
<LI><xtag-item3>CLK=[CLK:41] [CLK_INV:0]</xtag-item3></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="SLICEM">SLICEM</xtag-group-name>
......@@ -327,7 +327,7 @@
</xtag-group>
<xtag-group><xtag-group-name name="SLICEX">SLICEX</xtag-group-name>
<UL>
<LI><xtag-item3>CLK=[CLK:209] [CLK_INV:0]</xtag-item3></LI>
<LI><xtag-item3>CLK=[CLK:201] [CLK_INV:0]</xtag-item3></LI>
</UL>
</xtag-group>
</TD>
......@@ -372,11 +372,11 @@
</xtag-group>
<xtag-group><xtag-group-name name="FF_SR">FF_SR</xtag-group-name>
<UL>
<LI><xtag-item1>CE=40</xtag-item1></LI>
<LI><xtag-item1>CK=73</xtag-item1></LI>
<LI><xtag-item1>D=73</xtag-item1></LI>
<LI><xtag-item1>Q=73</xtag-item1></LI>
<LI><xtag-item1>SR=36</xtag-item1></LI>
<LI><xtag-item1>CE=52</xtag-item1></LI>
<LI><xtag-item1>CK=89</xtag-item1></LI>
<LI><xtag-item1>D=89</xtag-item1></LI>
<LI><xtag-item1>Q=89</xtag-item1></LI>
<LI><xtag-item1>SR=40</xtag-item1></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="HARD0">HARD0</xtag-group-name>
......@@ -388,8 +388,8 @@
<UL>
<LI><xtag-item1>DIFFI_IN=1</xtag-item1></LI>
<LI><xtag-item1>I=159</xtag-item1></LI>
<LI><xtag-item1>O=198</xtag-item1></LI>
<LI><xtag-item1>PAD=326</xtag-item1></LI>
<LI><xtag-item1>O=197</xtag-item1></LI>
<LI><xtag-item1>PAD=325</xtag-item1></LI>
<LI><xtag-item1>PADOUT=1</xtag-item1></LI>
<LI><xtag-item1>T=44</xtag-item1></LI>
</UL>
......@@ -429,30 +429,30 @@
</xtag-group>
<xtag-group><xtag-group-name name="IOB_OUTBUF">IOB_OUTBUF</xtag-group-name>
<UL>
<LI><xtag-item1>IN=198</xtag-item1></LI>
<LI><xtag-item1>OUT=198</xtag-item1></LI>
<LI><xtag-item1>IN=197</xtag-item1></LI>
<LI><xtag-item1>OUT=197</xtag-item1></LI>
<LI><xtag-item1>TRI=44</xtag-item1></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="LUT5">LUT5</xtag-group-name>
<UL>
<LI><xtag-item1>A1=48</xtag-item1></LI>
<LI><xtag-item1>A2=49</xtag-item1></LI>
<LI><xtag-item1>A3=124</xtag-item1></LI>
<LI><xtag-item1>A4=130</xtag-item1></LI>
<LI><xtag-item1>A5=64</xtag-item1></LI>
<LI><xtag-item1>O5=333</xtag-item1></LI>
<LI><xtag-item1>A1=32</xtag-item1></LI>
<LI><xtag-item1>A2=56</xtag-item1></LI>
<LI><xtag-item1>A3=109</xtag-item1></LI>
<LI><xtag-item1>A4=122</xtag-item1></LI>
<LI><xtag-item1>A5=88</xtag-item1></LI>
<LI><xtag-item1>O5=341</xtag-item1></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="LUT6">LUT6</xtag-group-name>
<UL>
<LI><xtag-item1>A1=311</xtag-item1></LI>
<LI><xtag-item1>A2=482</xtag-item1></LI>
<LI><xtag-item1>A3=576</xtag-item1></LI>
<LI><xtag-item1>A4=824</xtag-item1></LI>
<LI><xtag-item1>A5=751</xtag-item1></LI>
<LI><xtag-item1>A6=886</xtag-item1></LI>
<LI><xtag-item1>O6=900</xtag-item1></LI>
<LI><xtag-item1>A2=466</xtag-item1></LI>
<LI><xtag-item1>A3=584</xtag-item1></LI>
<LI><xtag-item1>A4=832</xtag-item1></LI>
<LI><xtag-item1>A5=759</xtag-item1></LI>
<LI><xtag-item1>A6=894</xtag-item1></LI>
<LI><xtag-item1>O6=908</xtag-item1></LI>
</UL>
</TD>
<TD>
......@@ -504,16 +504,16 @@
</xtag-group>
<xtag-group><xtag-group-name name="PAD">PAD</xtag-group-name>
<UL>
<LI><xtag-item1>PAD=330</xtag-item1></LI>
<LI><xtag-item1>PAD=329</xtag-item1></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="REG_SR">REG_SR</xtag-group-name>
<UL>
<LI><xtag-item1>CE=401</xtag-item1></LI>
<LI><xtag-item1>CK=723</xtag-item1></LI>
<LI><xtag-item1>D=723</xtag-item1></LI>
<LI><xtag-item1>Q=723</xtag-item1></LI>
<LI><xtag-item1>SR=472</xtag-item1></LI>
<LI><xtag-item1>CE=389</xtag-item1></LI>
<LI><xtag-item1>CK=707</xtag-item1></LI>
<LI><xtag-item1>D=707</xtag-item1></LI>
<LI><xtag-item1>Q=707</xtag-item1></LI>
<LI><xtag-item1>SR=468</xtag-item1></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="SELMUX2_1">SELMUX2_1</xtag-group-name>
......@@ -526,24 +526,24 @@
</xtag-group>
<xtag-group><xtag-group-name name="SLICEL">SLICEL</xtag-group-name>
<UL>
<LI><xtag-item1>A=6</xtag-item1></LI>
<LI><xtag-item1>A=9</xtag-item1></LI>
<LI><xtag-item1>A1=9</xtag-item1></LI>
<LI><xtag-item1>A2=10</xtag-item1></LI>
<LI><xtag-item1>A3=13</xtag-item1></LI>
<LI><xtag-item1>A4=46</xtag-item1></LI>
<LI><xtag-item1>A5=27</xtag-item1></LI>
<LI><xtag-item1>A6=59</xtag-item1></LI>
<LI><xtag-item1>AMUX=18</xtag-item1></LI>
<LI><xtag-item1>AQ=36</xtag-item1></LI>
<LI><xtag-item1>A2=12</xtag-item1></LI>
<LI><xtag-item1>A3=16</xtag-item1></LI>
<LI><xtag-item1>A4=49</xtag-item1></LI>
<LI><xtag-item1>A5=30</xtag-item1></LI>
<LI><xtag-item1>A6=62</xtag-item1></LI>
<LI><xtag-item1>AMUX=19</xtag-item1></LI>
<LI><xtag-item1>AQ=38</xtag-item1></LI>
<LI><xtag-item1>AX=8</xtag-item1></LI>
<LI><xtag-item1>B=9</xtag-item1></LI>
<LI><xtag-item1>B1=8</xtag-item1></LI>
<LI><xtag-item1>B=11</xtag-item1></LI>
<LI><xtag-item1>B1=9</xtag-item1></LI>
<LI><xtag-item1>B2=11</xtag-item1></LI>
<LI><xtag-item1>B3=13</xtag-item1></LI>
<LI><xtag-item1>B4=48</xtag-item1></LI>
<LI><xtag-item1>B5=28</xtag-item1></LI>
<LI><xtag-item1>B6=58</xtag-item1></LI>
<LI><xtag-item1>BMUX=18</xtag-item1></LI>
<LI><xtag-item1>B4=50</xtag-item1></LI>
<LI><xtag-item1>B5=30</xtag-item1></LI>
<LI><xtag-item1>B6=60</xtag-item1></LI>
<LI><xtag-item1>BMUX=17</xtag-item1></LI>
<LI><xtag-item1>BQ=37</xtag-item1></LI>
<LI><xtag-item1>BX=6</xtag-item1></LI>
<LI><xtag-item1>C1=7</xtag-item1></LI>
......@@ -552,24 +552,23 @@
<LI><xtag-item1>C4=49</xtag-item1></LI>
<LI><xtag-item1>C5=33</xtag-item1></LI>
<LI><xtag-item1>C6=62</xtag-item1></LI>
<LI><xtag-item1>CE=15</xtag-item1></LI>
<LI><xtag-item1>CE=16</xtag-item1></LI>
<LI><xtag-item1>CIN=40</xtag-item1></LI>
<LI><xtag-item1>CLK=40</xtag-item1></LI>
<LI><xtag-item1>CLK=41</xtag-item1></LI>
<LI><xtag-item1>CMUX=32</xtag-item1></LI>
<LI><xtag-item1>COUT=40</xtag-item1></LI>
<LI><xtag-item1>CQ=35</xtag-item1></LI>
<LI><xtag-item1>CX=21</xtag-item1></LI>
<LI><xtag-item1>D=1</xtag-item1></LI>
<LI><xtag-item1>D1=8</xtag-item1></LI>
<LI><xtag-item1>D2=21</xtag-item1></LI>
<LI><xtag-item1>D3=24</xtag-item1></LI>
<LI><xtag-item1>D3=23</xtag-item1></LI>
<LI><xtag-item1>D4=53</xtag-item1></LI>
<LI><xtag-item1>D5=35</xtag-item1></LI>
<LI><xtag-item1>D5=34</xtag-item1></LI>
<LI><xtag-item1>D6=62</xtag-item1></LI>
<LI><xtag-item1>DMUX=17</xtag-item1></LI>
<LI><xtag-item1>DQ=34</xtag-item1></LI>
<LI><xtag-item1>DX=7</xtag-item1></LI>
<LI><xtag-item1>SR=22</xtag-item1></LI>
<LI><xtag-item1>DMUX=15</xtag-item1></LI>
<LI><xtag-item1>DQ=36</xtag-item1></LI>
<LI><xtag-item1>DX=8</xtag-item1></LI>
<LI><xtag-item1>SR=23</xtag-item1></LI>
</UL>
</TD>
<TD>
......@@ -577,15 +576,14 @@
<xtag-group><xtag-group-name name="SLICEM">SLICEM</xtag-group-name>
<UL>
<LI><xtag-item1>A=2</xtag-item1></LI>
<LI><xtag-item1>A1=3</xtag-item1></LI>
<LI><xtag-item1>A2=3</xtag-item1></LI>
<LI><xtag-item1>A3=3</xtag-item1></LI>
<LI><xtag-item1>A4=3</xtag-item1></LI>
<LI><xtag-item1>A5=3</xtag-item1></LI>
<LI><xtag-item1>A6=3</xtag-item1></LI>
<LI><xtag-item1>AI=2</xtag-item1></LI>
<LI><xtag-item1>A1=2</xtag-item1></LI>
<LI><xtag-item1>A2=2</xtag-item1></LI>
<LI><xtag-item1>A3=2</xtag-item1></LI>
<LI><xtag-item1>A4=2</xtag-item1></LI>
<LI><xtag-item1>A5=2</xtag-item1></LI>
<LI><xtag-item1>A6=2</xtag-item1></LI>
<LI><xtag-item1>AI=1</xtag-item1></LI>
<LI><xtag-item1>AMUX=1</xtag-item1></LI>
<LI><xtag-item1>AQ=1</xtag-item1></LI>
<LI><xtag-item1>AX=2</xtag-item1></LI>
<LI><xtag-item1>B=2</xtag-item1></LI>
<LI><xtag-item1>B1=2</xtag-item1></LI>
......@@ -610,63 +608,63 @@
<LI><xtag-item1>CMUX=1</xtag-item1></LI>
<LI><xtag-item1>CQ=1</xtag-item1></LI>
<LI><xtag-item1>CX=2</xtag-item1></LI>
<LI><xtag-item1>D1=3</xtag-item1></LI>
<LI><xtag-item1>D2=3</xtag-item1></LI>
<LI><xtag-item1>D3=3</xtag-item1></LI>
<LI><xtag-item1>D4=3</xtag-item1></LI>
<LI><xtag-item1>D5=3</xtag-item1></LI>
<LI><xtag-item1>D6=3</xtag-item1></LI>
<LI><xtag-item1>DI=2</xtag-item1></LI>
<LI><xtag-item1>D1=4</xtag-item1></LI>
<LI><xtag-item1>D2=4</xtag-item1></LI>
<LI><xtag-item1>D3=4</xtag-item1></LI>
<LI><xtag-item1>D4=4</xtag-item1></LI>
<LI><xtag-item1>D5=4</xtag-item1></LI>
<LI><xtag-item1>D6=4</xtag-item1></LI>
<LI><xtag-item1>DI=3</xtag-item1></LI>
<LI><xtag-item1>DMUX=1</xtag-item1></LI>
<LI><xtag-item1>DQ=1</xtag-item1></LI>
<LI><xtag-item1>DQ=2</xtag-item1></LI>
<LI><xtag-item1>DX=2</xtag-item1></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="SLICEX">SLICEX</xtag-group-name>
<UL>
<LI><xtag-item1>A=111</xtag-item1></LI>
<LI><xtag-item1>A1=97</xtag-item1></LI>
<LI><xtag-item1>A2=141</xtag-item1></LI>
<LI><xtag-item1>A3=176</xtag-item1></LI>
<LI><xtag-item1>A4=190</xtag-item1></LI>
<LI><xtag-item1>A5=190</xtag-item1></LI>
<LI><xtag-item1>A6=198</xtag-item1></LI>
<LI><xtag-item1>AMUX=45</xtag-item1></LI>
<LI><xtag-item1>AQ=169</xtag-item1></LI>
<LI><xtag-item1>AX=70</xtag-item1></LI>
<LI><xtag-item1>B=85</xtag-item1></LI>
<LI><xtag-item1>B1=73</xtag-item1></LI>
<LI><xtag-item1>B2=116</xtag-item1></LI>
<LI><xtag-item1>B3=143</xtag-item1></LI>
<LI><xtag-item1>B4=149</xtag-item1></LI>
<LI><xtag-item1>B5=152</xtag-item1></LI>
<LI><xtag-item1>B6=150</xtag-item1></LI>
<LI><xtag-item1>BMUX=36</xtag-item1></LI>
<LI><xtag-item1>A=122</xtag-item1></LI>
<LI><xtag-item1>A1=99</xtag-item1></LI>
<LI><xtag-item1>A2=153</xtag-item1></LI>
<LI><xtag-item1>A3=191</xtag-item1></LI>
<LI><xtag-item1>A4=206</xtag-item1></LI>
<LI><xtag-item1>A5=208</xtag-item1></LI>
<LI><xtag-item1>A6=209</xtag-item1></LI>
<LI><xtag-item1>AMUX=48</xtag-item1></LI>
<LI><xtag-item1>AQ=159</xtag-item1></LI>
<LI><xtag-item1>AX=62</xtag-item1></LI>
<LI><xtag-item1>B=88</xtag-item1></LI>
<LI><xtag-item1>B1=75</xtag-item1></LI>
<LI><xtag-item1>B2=114</xtag-item1></LI>
<LI><xtag-item1>B3=150</xtag-item1></LI>
<LI><xtag-item1>B4=157</xtag-item1></LI>
<LI><xtag-item1>B5=163</xtag-item1></LI>
<LI><xtag-item1>B6=157</xtag-item1></LI>
<LI><xtag-item1>BMUX=38</xtag-item1></LI>
<LI><xtag-item1>BQ=133</xtag-item1></LI>
<LI><xtag-item1>BX=67</xtag-item1></LI>
<LI><xtag-item1>C=58</xtag-item1></LI>
<LI><xtag-item1>C1=82</xtag-item1></LI>
<LI><xtag-item1>C2=101</xtag-item1></LI>
<LI><xtag-item1>C3=129</xtag-item1></LI>
<LI><xtag-item1>C4=141</xtag-item1></LI>
<LI><xtag-item1>C5=143</xtag-item1></LI>
<LI><xtag-item1>C6=142</xtag-item1></LI>
<LI><xtag-item1>CE=110</xtag-item1></LI>
<LI><xtag-item1>CLK=209</xtag-item1></LI>
<LI><xtag-item1>BX=62</xtag-item1></LI>
<LI><xtag-item1>C=63</xtag-item1></LI>
<LI><xtag-item1>C1=75</xtag-item1></LI>
<LI><xtag-item1>C2=97</xtag-item1></LI>
<LI><xtag-item1>C3=125</xtag-item1></LI>
<LI><xtag-item1>C4=137</xtag-item1></LI>
<LI><xtag-item1>C5=144</xtag-item1></LI>
<LI><xtag-item1>C6=143</xtag-item1></LI>
<LI><xtag-item1>CE=104</xtag-item1></LI>
<LI><xtag-item1>CLK=201</xtag-item1></LI>
<LI><xtag-item1>CMUX=30</xtag-item1></LI>
<LI><xtag-item1>CQ=149</xtag-item1></LI>
<LI><xtag-item1>CX=64</xtag-item1></LI>
<LI><xtag-item1>D=91</xtag-item1></LI>
<LI><xtag-item1>D1=72</xtag-item1></LI>
<LI><xtag-item1>D2=107</xtag-item1></LI>
<LI><xtag-item1>D3=134</xtag-item1></LI>
<LI><xtag-item1>D4=148</xtag-item1></LI>
<LI><xtag-item1>D5=150</xtag-item1></LI>
<LI><xtag-item1>D6=155</xtag-item1></LI>
<LI><xtag-item1>DMUX=38</xtag-item1></LI>
<LI><xtag-item1>CQ=139</xtag-item1></LI>
<LI><xtag-item1>CX=57</xtag-item1></LI>
<LI><xtag-item1>D=76</xtag-item1></LI>
<LI><xtag-item1>D1=58</xtag-item1></LI>
<LI><xtag-item1>D2=90</xtag-item1></LI>
<LI><xtag-item1>D3=122</xtag-item1></LI>
<LI><xtag-item1>D4=132</xtag-item1></LI>
<LI><xtag-item1>D5=140</xtag-item1></LI>
<LI><xtag-item1>D6=139</xtag-item1></LI>
<LI><xtag-item1>DMUX=43</xtag-item1></LI>
<LI><xtag-item1>DQ=127</xtag-item1></LI>
<LI><xtag-item1>DX=60</xtag-item1></LI>
<LI><xtag-item1>SR=152</xtag-item1></LI>
<LI><xtag-item1>DX=61</xtag-item1></LI>
<LI><xtag-item1>SR=147</xtag-item1></LI>
</UL>
</TD>
<TD>
......@@ -737,13 +735,24 @@
<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx150t-fgg676-3 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
<LI><xtag-cmdline>map -intstyle ise -p xc6slx150t-fgg676-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx150t-fgg676-3 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
<LI><xtag-cmdline>map -intstyle ise -p xc6slx150t-fgg676-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
</xtag-section></UL></TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'><xtag-section name="RunStatistics"><TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=8><B>Software Quality</B></TD></TR><TR ALIGN=LEFT><TD COLSPAN=8><B>Run Statistics</B></TD></TR>
<tr>
<td><xtag-program-name>bitgen</xtag-program-name></td>
<td><xtag-total-run-started>4</xtag-total-run-started></td>
<td><xtag-total-run-finished>4</xtag-total-run-finished></td>
<td><xtag-total-run-started>7</xtag-total-run-started></td>
<td><xtag-total-run-finished>7</xtag-total-run-finished></td>
<td><xtag-total-error>0</xtag-total-error></td>
<td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
<td><xtag-total-internal-error>0</xtag-total-internal-error></td>
......@@ -772,8 +781,8 @@
</tr>
<tr>
<td><xtag-program-name>map</xtag-program-name></td>
<td><xtag-total-run-started>19</xtag-total-run-started></td>
<td><xtag-total-run-finished>9</xtag-total-run-finished></td>
<td><xtag-total-run-started>22</xtag-total-run-started></td>
<td><xtag-total-run-finished>12</xtag-total-run-finished></td>
<td><xtag-total-error>0</xtag-total-error></td>
<td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
<td><xtag-total-internal-error>0</xtag-total-internal-error></td>
......@@ -792,8 +801,8 @@
</tr>
<tr>
<td><xtag-program-name>ngdbuild</xtag-program-name></td>
<td><xtag-total-run-started>23</xtag-total-run-started></td>
<td><xtag-total-run-finished>23</xtag-total-run-finished></td>
<td><xtag-total-run-started>26</xtag-total-run-started></td>
<td><xtag-total-run-finished>26</xtag-total-run-finished></td>
<td><xtag-total-error>0</xtag-total-error></td>
<td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
<td><xtag-total-internal-error>0</xtag-total-internal-error></td>
......@@ -802,8 +811,8 @@
</tr>
<tr>
<td><xtag-program-name>par</xtag-program-name></td>
<td><xtag-total-run-started>9</xtag-total-run-started></td>
<td><xtag-total-run-finished>9</xtag-total-run-finished></td>
<td><xtag-total-run-started>12</xtag-total-run-started></td>
<td><xtag-total-run-finished>12</xtag-total-run-finished></td>
<td><xtag-total-error>0</xtag-total-error></td>
<td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
<td><xtag-total-internal-error>0</xtag-total-internal-error></td>
......@@ -812,8 +821,8 @@
</tr>
<tr>
<td><xtag-program-name>trce</xtag-program-name></td>
<td><xtag-total-run-started>11</xtag-total-run-started></td>
<td><xtag-total-run-finished>11</xtag-total-run-finished></td>
<td><xtag-total-run-started>14</xtag-total-run-started></td>
<td><xtag-total-run-finished>14</xtag-total-run-finished></td>
<td><xtag-total-error>0</xtag-total-error></td>
<td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
<td><xtag-total-internal-error>0</xtag-total-internal-error></td>
......@@ -822,8 +831,8 @@
</tr>
<tr>
<td><xtag-program-name>xst</xtag-program-name></td>
<td><xtag-total-run-started>30</xtag-total-run-started></td>
<td><xtag-total-run-finished>30</xtag-total-run-finished></td>
<td><xtag-total-run-started>32</xtag-total-run-started></td>
<td><xtag-total-run-finished>32</xtag-total-run-finished></td>
<td><xtag-total-error>0</xtag-total-error></td>
<td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
<td><xtag-total-internal-error>0</xtag-total-internal-error></td>
......@@ -883,7 +892,7 @@ Help files</xtag-group-name></B></TD></TR>
<TD><xtag-design-property-name>PROP_intProjectCreationTimestamp</xtag-design-property-name>=<xtag-design-property-value>2010-12-15T15:02:16</xtag-design-property-value></TD>
</TR><TR><TD><xtag-design-property-name>PROP_intWbtProjectID</xtag-design-property-name>=<xtag-design-property-value>F6031676C5FE434A8E9F8A1057A8E48F</xtag-design-property-value></TD>
<TD><xtag-process-property-name>PROP_intWbtProjectIteration</xtag-process-property-name>=<xtag-process-property-value>3</xtag-process-property-value></TD>
<TD><xtag-process-property-name>PROP_intWbtProjectIteration</xtag-process-property-name>=<xtag-process-property-value>5</xtag-process-property-value></TD>
</TR><TR><TD><xtag-design-property-name>PROP_intWorkingDirLocWRTProjDir</xtag-design-property-name>=<xtag-design-property-value>Same</xtag-design-property-value></TD>
<TD><xtag-design-property-name>PROP_intWorkingDirUsed</xtag-design-property-name>=<xtag-design-property-value>No</xtag-design-property-value></TD>
......@@ -942,11 +951,11 @@ Help files</xtag-group-name></B></TD></TR>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT6</xtag-preunisim-param-name>=<xtag-preunisim-param-value>307</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_MUXCY</xtag-preunisim-param-name>=<xtag-preunisim-param-value>186</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_MUXF7</xtag-preunisim-param-name>=<xtag-preunisim-param-value>18</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_OBUF</xtag-preunisim-param-name>=<xtag-preunisim-param-value>152</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_OBUF</xtag-preunisim-param-name>=<xtag-preunisim-param-value>151</xtag-preunisim-param-value></TD>
</TR>
<TR>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_OBUFDS</xtag-preunisim-param-name>=<xtag-preunisim-param-value>3</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_OBUFT</xtag-preunisim-param-name>=<xtag-preunisim-param-value>33</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_OBUFT</xtag-preunisim-param-name>=<xtag-preunisim-param-value>34</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_RAM16X1D</xtag-preunisim-param-name>=<xtag-preunisim-param-value>2</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_RAM32M</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
</TR>
......@@ -987,11 +996,11 @@ Help files</xtag-group-name></B></TD></TR>
<TR>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_MUXCY</xtag-postunisim-param-name>=<xtag-postunisim-param-value>186</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_MUXF7</xtag-postunisim-param-name>=<xtag-postunisim-param-value>18</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_OBUF</xtag-postunisim-param-name>=<xtag-postunisim-param-value>152</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_OBUF</xtag-postunisim-param-name>=<xtag-postunisim-param-value>151</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_OBUFDS</xtag-postunisim-param-name>=<xtag-postunisim-param-value>3</xtag-postunisim-param-value></TD>
</TR>
<TR>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_OBUFT</xtag-postunisim-param-name>=<xtag-postunisim-param-value>65</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_OBUFT</xtag-postunisim-param-name>=<xtag-postunisim-param-value>66</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_OBUFTDS</xtag-postunisim-param-name>=<xtag-postunisim-param-value>2</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_RAM32M</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_SRLC16E</xtag-postunisim-param-name>=<xtag-postunisim-param-value>3</xtag-postunisim-param-value></TD>
......
......@@ -4,7 +4,7 @@ Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
Project Information
--------------------
ProjectID=F6031676C5FE434A8E9F8A1057A8E48F
ProjectIteration=3
ProjectIteration=5
WebTalk Summary
----------------
......@@ -13,4 +13,4 @@ INFO:WebTalk:2 - WebTalk is enabled.
INFO:WebTalk:8 - WebTalk Install setting is ON.
INFO:WebTalk:6 - WebTalk User setting is ON.
INFO:WebTalk:4 - C:/VFC_SVN/firmware/XilinxISE/SystemFpga/usage_statistics_webtalk.html WebTalk report has been successfully sent to Xilinx on 2010-12-16T18:45:27. For additional details about this file, please refer to the WebTalk help file at C:/Xilinx/12.3/ISE_DS/ISE/data/reports/webtalk_introduction.html
INFO:WebTalk:4 - C:/VFC_SVN/firmware/XilinxISE/SystemFpga/usage_statistics_webtalk.html WebTalk report has been successfully sent to Xilinx on 2010-12-17T10:03:52. For additional details about this file, please refer to the WebTalk help file at C:/Xilinx/12.3/ISE_DS/ISE/data/reports/webtalk_introduction.html
......@@ -3,10 +3,10 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pn" timeStamp="Thu Dec 16 18:44:36 2010">
<application name="pn" timeStamp="Fri Dec 17 10:03:02 2010">
<section name="Project Information" visible="false">
<property name="ProjectID" value="F6031676C5FE434A8E9F8A1057A8E48F" type="project"/>
<property name="ProjectIteration" value="3" type="project"/>
<property name="ProjectIteration" value="5" type="project"/>
<property name="ProjectFile" value="C:/VFC_SVN/firmware/XilinxISE/SystemFpga/SystemFpga.xise" type="project"/>
<property name="ProjectCreationTimestamp" value="2010-12-15T15:02:16" type="project"/>
</section>
......@@ -24,7 +24,7 @@ This means code written to parse this file will need to be revisited each subseq
<property name="PROP_UserConstraintEditorPreference" value="Text Editor" type="process"/>
<property name="PROP_intProjectCreationTimestamp" value="2010-12-15T15:02:16" type="design"/>
<property name="PROP_intWbtProjectID" value="F6031676C5FE434A8E9F8A1057A8E48F" type="design"/>
<property name="PROP_intWbtProjectIteration" value="3" type="process"/>
<property name="PROP_intWbtProjectIteration" value="5" type="process"/>
<property name="PROP_intWorkingDirLocWRTProjDir" value="Same" type="design"/>
<property name="PROP_intWorkingDirUsed" value="No" type="design"/>
<property name="PROP_lockPinsUcfFile" value="changed" type="process"/>
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment