Commit 902c4281 authored by Javier Serrano's avatar Javier Serrano

Some changes after comments by Wim Beaumont

parent 36db02d7
......@@ -172,7 +172,7 @@ end architecture rtl;
-- read: clk_i, reset_n_i
-- write: s_clk_local
-- r/w: led_o
p_my_process: process (clk_i, reset_n_i)
p_my_process: process (clk_i)
begin
if (clk_i'event and clk_i = '1') then -- synchronous process
if reset_n_i = '0' then
......@@ -487,7 +487,7 @@ Use either a global synchronous or a global asynchronous reset for all sequentia
asynchronous
\end{center}\\[-5ex] % remove unecessary veritcal spaces
\begin{lstlisting}
p_my_process: process (clk_i, reset_n_i)
p_my_process: process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if reset_n_i = '0' then
......@@ -792,7 +792,7 @@ Do not use default values (or initialization) for signals and variables, such an
All signals or variables of type integer should be declared constrained, because standard is a 32-bit bus. If you don't want to use the full range in your design this would result in unnecessary use of resources on your hardware. Therefore, you should declare your integer signals, ports and variables always like that:
\texttt{signal integer\_signal : integer range 0 to 16;}
\texttt{signal integer\_signal : integer range 0 to 15;}
\subsection{(*) Entity Port Types}
......@@ -948,7 +948,7 @@ VHDL for RTL should be as generic as possible. Stick to standard templates for c
\label{fig:CombBlck}
\end{figure}
Critical paths should be entirely included in a single design entity. As a general rule, a design should exist in a designer's mind before describing it in VHDL (the 'D' stands for Description). See for example \cite{Rus00} as a book which emphasises this approach.
As a general rule, a design should exist in a designer's mind before describing it in VHDL (the 'D' stands for Description). See for example \cite{Rus00} as a book which emphasises this approach.
\subsubsection{Instantiating IP Cores}
......@@ -1285,7 +1285,7 @@ begin
--! write: s_lclk \n
--! r/w: led_o \n
--============================================================================
p_my_process: process (clk_i, reset_n_i)
p_my_process: process (clk_i)
begin
if (clk_i'event and clk_i = '1') then -- synchronous process
If reset_n_i = '0' then
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment