Commit 3024a3e4 authored by Tristan Gingold's avatar Tristan Gingold

makefilevsim.py: remove some irregularities.

parent 1d05138a
......@@ -119,11 +119,10 @@ class MakefileVsim(MakefileSim):
# rules for all _primary.dat files for sv
for vlog in fileset.filter(VerilogFile).sort():
self._makefile_sim_file_rule(vlog)
self.writeln("\t\tvlog -work {library} $(VLOG_FLAGS) {sv_option} ${{INCLUDE_DIRS}} $<".format(
self.writeln("\t\tvlog -work {library} $(VLOG_FLAGS) {sv_option} $(INCLUDE_DIRS) $<".format(
library=vlog.library, sv_option="-sv" if isinstance(vlog, SVFile) else ""))
self._makefile_sim_file_touch_stamp()
self.writeln()
self.writeln()
# list rules for all _primary.dat files for vhdl
for vhdl in fileset.filter(VHDLFile).sort():
self._makefile_sim_file_rule(vhdl)
......
......@@ -35,11 +35,10 @@ work/.work:
work/vlog/.vlog_v: vlog.v \
macros.v
vlog -work work $(VLOG_FLAGS) ${INCLUDE_DIRS} $<
vlog -work work $(VLOG_FLAGS) $(INCLUDE_DIRS) $<
@mkdir -p $(dir $@) && touch $@
# USER SIM COMMANDS
sim_pre_cmd:
......
......@@ -35,11 +35,10 @@ work/.work:
work/vlog/.vlog_v: vlog.v \
inc/macros.v
vlog -work work $(VLOG_FLAGS) ${INCLUDE_DIRS} $<
vlog -work work $(VLOG_FLAGS) $(INCLUDE_DIRS) $<
@mkdir -p $(dir $@) && touch $@
# USER SIM COMMANDS
sim_pre_cmd:
......
......@@ -36,18 +36,16 @@ work/.work:
(vlib work && vmap $(VMAP_FLAGS) work && touch work/.work) || rm -rf work
work/pkg/.pkg_sv: pkg.sv
vlog -work work $(VLOG_FLAGS) -sv ${INCLUDE_DIRS} $<
vlog -work work $(VLOG_FLAGS) -sv $(INCLUDE_DIRS) $<
@mkdir -p $(dir $@) && touch $@
work/vlog/.vlog_sv: vlog.sv \
work/pkg/.pkg_sv
vlog -work work $(VLOG_FLAGS) -sv ${INCLUDE_DIRS} $<
vlog -work work $(VLOG_FLAGS) -sv $(INCLUDE_DIRS) $<
@mkdir -p $(dir $@) && touch $@
# USER SIM COMMANDS
sim_pre_cmd:
......
......@@ -34,11 +34,10 @@ work/.work:
(vlib work && vmap $(VMAP_FLAGS) work && touch work/.work) || rm -rf work
work/gate_tb/.gate_tb_v: ../files/gate_tb.v
vlog -work work $(VLOG_FLAGS) ${INCLUDE_DIRS} $<
vlog -work work $(VLOG_FLAGS) $(INCLUDE_DIRS) $<
@mkdir -p $(dir $@) && touch $@
# USER SIM COMMANDS
sim_pre_cmd:
......
......@@ -34,11 +34,10 @@ work/.work:
(vlib work && vmap $(VMAP_FLAGS) work && touch work/.work) || rm -rf work
work/vlog/.vlog_v: vlog.v
vlog -work work $(VLOG_FLAGS) ${INCLUDE_DIRS} $<
vlog -work work $(VLOG_FLAGS) $(INCLUDE_DIRS) $<
@mkdir -p $(dir $@) && touch $@
# USER SIM COMMANDS
sim_pre_cmd:
......
......@@ -36,18 +36,16 @@ work/.work:
(vlib work && vmap $(VMAP_FLAGS) work && touch work/.work) || rm -rf work
work/mod_a/.mod_a_v: mod_a.v
vlog -work work $(VLOG_FLAGS) ${INCLUDE_DIRS} $<
vlog -work work $(VLOG_FLAGS) $(INCLUDE_DIRS) $<
@mkdir -p $(dir $@) && touch $@
work/vlog/.vlog_v: vlog.v \
work/mod_a/.mod_a_v
vlog -work work $(VLOG_FLAGS) ${INCLUDE_DIRS} $<
vlog -work work $(VLOG_FLAGS) $(INCLUDE_DIRS) $<
@mkdir -p $(dir $@) && touch $@
# USER SIM COMMANDS
sim_pre_cmd:
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment