Commit 3e47f5d3 authored by Federico Vaga's avatar Federico Vaga

update copyright notice

Signed-off-by: Federico Vaga's avatarFederico Vaga <federico.vaga@cern.ch>
parent 397fa396
Copyright (c) 2018, CERN (www.cern.ch), All rights reserved. Copyright (c) 2014-2019, CERN (home.cern), All rights reserved.
Redistribution and use in source and binary forms, with or without Redistribution and use in source and binary forms, with or without
modification, are permitted provided that the following conditions are met: modification, are permitted provided that the following conditions are met:
......
/* /*
* Copyright (C) CERN 2016 * Copyright (c) 2016-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* License: GPLv3 * License: GPLv3
*/ */
......
/* /*
* Copyright (C) CERN 2016 * Copyright (c) 2016-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* License: GPLv3 * License: GPLv3
*/ */
......
/* /*
* Copyright (C) 2018 CERN (www.cern.ch) * Copyright (c) 2016-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2018 CERN (www.cern.ch) * Copyright (c) 2018-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2018 CERN (www.cern.ch) * Copyright (c) 2018-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2018 CERN (www.cern.ch) * Copyright (c) 2018-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2015 CERN (www.cern.ch) * Copyright (c) 2015-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
*/ */
......
/* /*
* Copyright (C) 2018 CERN (www.cern.ch) * Copyright (c) 2018-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2018 CERN (www.cern.ch) * Copyright (c) 2018-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2018 CERN (www.cern.ch) * Copyright (c) 2018-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2018 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/** /**
* Copyright (C) 2015 CERN (www.cern.ch) * Copyright (c) 2015-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/** /**
* Copyright (C) 2015 CERN (www.cern.ch) * Copyright (c) 2015-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/** /**
* Copyright (C) 2015 CERN (www.cern.ch) * Copyright (c) 2015-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/** /**
* Copyright (C) 2015 CERN (www.cern.ch) * Copyright (c) 2015-2019 CERN (home.cern)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch> * Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
......
/** /**
* Copyright (C) 2015 CERN (www.cern.ch) * Copyright (c) 2015-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2014 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* SPDX-License-Identifier: BSD-3-Clause * SPDX-License-Identifier: BSD-3-Clause
*/ */
......
/* /*
* Copyright (C) 2014 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2014 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2014 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2014 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: GPL-3.0-or-later * SPDX-License-Identifier: GPL-3.0-or-later
......
...@@ -54,7 +54,7 @@ master_doc = 'index' ...@@ -54,7 +54,7 @@ master_doc = 'index'
# General information about the project. # General information about the project.
project = 'Mock Turtle' project = 'Mock Turtle'
copyright = 'CERN 2018' copyright = "Copyright (c) 2014-2019 CERN (home.cern)"
author = 'Federico Vaga <federico.vaga@cern.ch>, Tomasz Wlostowski <Tomasz.Wlostowski@cern.ch>' author = 'Federico Vaga <federico.vaga@cern.ch>, Tomasz Wlostowski <Tomasz.Wlostowski@cern.ch>'
# The version info for the project you're documenting, acts as replacement for # The version info for the project you're documenting, acts as replacement for
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
-- program/data memory and control registers. -- program/data memory and control registers.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: MT CPU Control/Status Registers block layout (wbgen2) -- description: MT CPU Control/Status Registers block layout (wbgen2)
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: MT CPU Per-Core Local Registers block layout (wbgen2) -- description: MT CPU Per-Core Local Registers block layout (wbgen2)
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
-- file that describes the whole CSR interface. -- file that describes the whole CSR interface.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2018 -- Copyright (c) 2018-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
-- access to the RAM through CPU CSR register block. -- access to the RAM through CPU CSR register block.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Global package for the UDP/Ethernet RMQ endpoint. -- description: Global package for the UDP/Ethernet RMQ endpoint.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
-- --
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Remote MQ Ethernet framer. -- description: Remote MQ Ethernet framer.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
-- single RMQ slot. -- single RMQ slot.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
-- Decode configuration registers. -- Decode configuration registers.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -11,7 +11,7 @@ ...@@ -11,7 +11,7 @@
-- --
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Packet assembler for the TX path of the RMQ. -- description: Packet assembler for the TX path of the RMQ.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Remote MQ ethernet/UDP packet deframer. -- description: Remote MQ ethernet/UDP packet deframer.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Remote MQ RX path. Deframe (extract header). -- description: Remote MQ RX path. Deframe (extract header).
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
-- nodes. -- nodes.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Remote MQ TX packet assembler: add eth, ip, udp headers. -- description: Remote MQ TX packet assembler: add eth, ip, udp headers.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Remote MQ UDP framer -- description: Remote MQ UDP framer
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Mock Turtle White Rabbit sink: WR fabric to MT stream. -- description: Mock Turtle White Rabbit sink: WR fabric to MT stream.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
-- MT stream to WR fabric. -- MT stream to WR fabric.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
-- interface and the Shared Memory. -- interface and the Shared Memory.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: top level package with public types, definitions and components. -- description: top level package with public types, definitions and components.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
-- the CPU CBs and the host system. -- the CPU CBs and the host system.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Global package for the Message Queues -- description: Global package for the Message Queues
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
-- remote nodes. -- remote nodes.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Single slot (FIFO) of a Message Queue. -- description: Single slot (FIFO) of a Message Queue.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Wishbone slave for MQ's Slots. -- description: Wishbone slave for MQ's Slots.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Remote message rx adapter -- description: Remote message rx adapter
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Single outgoing (MT->world) slot of the Remote Message Queue. -- description: Single outgoing (MT->world) slot of the Remote Message Queue.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
-- MockTurtle implementation. -- MockTurtle implementation.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2018 -- Copyright (c) 2018-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
-- description: Mock Turtle CPU Shared Memory block. -- description: Mock Turtle CPU Shared Memory block.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2014-2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
// MockTurtle core. // MockTurtle core.
// //
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright CERN 2018 // Copyright (c) 2018-2019 CERN (home.cern)
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright and related rights are licensed under the Solderpad Hardware // Copyright and related rights are licensed under the Solderpad Hardware
// License, Version 2.0 (the "License"); you may not use this file except // License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
// MockTurtle implementation. // MockTurtle implementation.
// //
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright CERN 2018 // Copyright (c) 2014-2019 CERN (home.cern)
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright and related rights are licensed under the Solderpad Hardware // Copyright and related rights are licensed under the Solderpad Hardware
// License, Version 2.0 (the "License"); you may not use this file except // License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -11,7 +11,7 @@ ...@@ -11,7 +11,7 @@
// loading, debug UART monitoring, etc. // loading, debug UART monitoring, etc.
// //
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright CERN 2018 // Copyright (c) 2018-2019 CERN (home.cern)
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright and related rights are licensed under the Solderpad Hardware // Copyright and related rights are licensed under the Solderpad Hardware
// License, Version 2.0 (the "License"); you may not use this file except // License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
// MockTurtle core's debug interface. // MockTurtle core's debug interface.
// //
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright CERN 2018 // Copyright (c) 2018-2019 CERN (home.cern)
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright and related rights are licensed under the Solderpad Hardware // Copyright and related rights are licensed under the Solderpad Hardware
// License, Version 2.0 (the "License"); you may not use this file except // License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
// MockTurtle core's host message queue. // MockTurtle core's host message queue.
// //
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright CERN 2018 // Copyright (c) 2018-2019 CERN (home.cern)
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright and related rights are licensed under the Solderpad Hardware // Copyright and related rights are licensed under the Solderpad Hardware
// License, Version 2.0 (the "License"); you may not use this file except // License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
// MockTurtle core's queue message. // MockTurtle core's queue message.
// //
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright CERN 2018 // Copyright (c) 2018-2019 CERN (home.cern)
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright and related rights are licensed under the Solderpad Hardware // Copyright and related rights are licensed under the Solderpad Hardware
// License, Version 2.0 (the "License"); you may not use this file except // License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -10,7 +10,7 @@ ...@@ -10,7 +10,7 @@
// Mock Turtle core. // Mock Turtle core.
// //
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright CERN 2018 // Copyright (c) 2018-2019 CERN (home.cern)
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright and related rights are licensed under the Solderpad Hardware // Copyright and related rights are licensed under the Solderpad Hardware
// License, Version 2.0 (the "License"); you may not use this file except // License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
// description: A SystemVerilog testbench to test the ethernet endpoint of MT // description: A SystemVerilog testbench to test the ethernet endpoint of MT
// //
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright CERN 2018 // Copyright (c) 2014-2019 CERN (home.cern)
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright and related rights are licensed under the Solderpad Hardware // Copyright and related rights are licensed under the Solderpad Hardware
// License, Version 2.0 (the "License"); you may not use this file except // License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
// description: A SystemVerilog testbench for the supplied SPEC MT demo. // description: A SystemVerilog testbench for the supplied SPEC MT demo.
// //
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright CERN 2018 // Copyright (c) 2018-2019 CERN (home.cern)
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright and related rights are licensed under the Solderpad Hardware // Copyright and related rights are licensed under the Solderpad Hardware
// License, Version 2.0 (the "License"); you may not use this file except // License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
// description: A SystemVerilog testbench for the supplied SVEC MT demo. // description: A SystemVerilog testbench for the supplied SVEC MT demo.
// //
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright CERN 2018 // Copyright (c) 2018-2019 CERN (home.cern)
//------------------------------------------------------------------------------ //------------------------------------------------------------------------------
// Copyright and related rights are licensed under the Solderpad Hardware // Copyright and related rights are licensed under the Solderpad Hardware
// License, Version 2.0 (the "License"); you may not use this file except // License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -13,7 +13,7 @@ ...@@ -13,7 +13,7 @@
-- and buttons of the SPEC. -- and buttons of the SPEC.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2018 -- Copyright (c) 2018-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -13,7 +13,7 @@ ...@@ -13,7 +13,7 @@
-- and LEMO I/O on the front panel of the SVEC. -- and LEMO I/O on the front panel of the SVEC.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2018 -- Copyright (c) 2018-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
...@@ -13,7 +13,7 @@ ...@@ -13,7 +13,7 @@
-- and LEMO I/O on the front panel of the SVEC. -- and LEMO I/O on the front panel of the SVEC.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2018 -- Copyright (c) 2014-2019 CERN (home.cern)
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except -- License, Version 2.0 (the "License"); you may not use this file except
......
/** /**
* Copyright (C) 2015-2016 CERN (www.cern.ch) * Copyright (c) 2015-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/** /**
* @defgroup framework Mock Turtle Firmware Framework * @defgroup framework Mock Turtle Firmware Framework
* @{ * @{
* @copyright (C) 2015-2016 CERN (www.cern.ch) * @copyright: Copyright (c) 2015-2019 CERN (home.cern)
* @author Federico Vaga <federico.vaga@cern.ch> * @author Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2013-2016 CERN (www.cern.ch) * Copyright (c) 2013-2019 CERN (home.cern)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch> * Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
......
/** /**
* @defgroup library Mock Turtle Firmware Library * @defgroup library Mock Turtle Firmware Library
* @{ * @{
* @copyright (C) 2013-2016 CERN (www.cern.ch) * @copyright: Copyright (c) 2013-2019 CERN (home.cern)
* @author Federico Vaga <federico.vaga@cern.ch> * @author Federico Vaga <federico.vaga@cern.ch>
* @author Tomasz Wlostowski <tomasz.wlostowski@cern.ch> * @author Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* *
......
/* /*
* Copyright (C) 2018 CERN (www.cern.ch) * Copyright (c) 2018-2019 CERN (home.cern)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch> * Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* This work is part of the Mock Turtle project. * This work is part of the Mock Turtle project.
* *
* Copyright (C) 2013-2014 CERN (www.cern.ch) * Copyright (c) 2013-2019 CERN (home.cern)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch> * Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* *
* Released according to the GNU GPL, version 2 or any later version. * Released according to the GNU GPL, version 2 or any later version.
......
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* inspired by a draft of Tomasz Wlostowski <tomasz.wlostowski@cern.ch> * inspired by a draft of Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* *
......
/* /*
* Copyright (C) 2014 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* SPDX-License-Identifier: BSD-3-Clause * SPDX-License-Identifier: BSD-3-Clause
*/ */
......
// SPDX-License-Identifier: GPL-2.0-or-later // SPDX-License-Identifier: GPL-2.0-or-later
/* /*
* Copyright (C) 2014-2019 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
*/ */
#include <linux/version.h> #include <linux/version.h>
......
// SPDX-License-Identifier: GPL-2.0-or-later // SPDX-License-Identifier: GPL-2.0-or-later
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
*/ */
......
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: GPL-2.0-or-later * SPDX-License-Identifier: GPL-2.0-or-later
......
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: GPL-2.0-or-later * SPDX-License-Identifier: GPL-2.0-or-later
......
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: GPL-2.0-or-later * SPDX-License-Identifier: GPL-2.0-or-later
......
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: GPL-2.0-or-later * SPDX-License-Identifier: GPL-2.0-or-later
......
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: GPL-2.0-or-later * SPDX-License-Identifier: GPL-2.0-or-later
......
/* /*
* Copyright (C) 2017 CERN (www.cern.ch) * Copyright (c) 2017-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: GPL-2.0-or-later * SPDX-License-Identifier: GPL-2.0-or-later
......
""" """
@package docstring @package docstring
Copyright (c) 201 of the shared memory value with @copyright: Copyright (c) 2016-2019 CERN (home.cern)
the given one. In other words it flips the bit in the given value5 CERN @author: Federico Vaga <federico.vaga@cern.ch>
Author: Federico Vaga <federico.vaga@cern.ch>
SPDX-License-Identifier: LGPL-3.0-or-later SPDX-License-Identifier: LGPL-3.0-or-later
""" """
......
""" """
@package docstring @package docstring
@author: Federico Vaga <federico.vaga@cern.ch> @author: Federico Vaga <federico.vaga@cern.ch>
@copyright: Copyright (c) 2015 CERN @copyright: Copyright (c) 2016-2019 CERN (home.cern)
@license: GNU Library General Public License version 2 or later @license: GNU Library General Public License version 2 or later
SPDX-License-Identifier: LGPL-3.0-or-later SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: LGPL-3.0-or-later * SPDX-License-Identifier: LGPL-3.0-or-later
......
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* inspired by a draft of Tomasz Wlostowski <tomasz.wlostowski@cern.ch> * inspired by a draft of Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* *
......
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: GPL-3.0-or-later * SPDX-License-Identifier: GPL-3.0-or-later
......
/* /*
* Copyright (C) 2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* This program is free software: you can redistribute it and/or modify * This program is free software: you can redistribute it and/or modify
......
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: GPL-3.0-or-later * SPDX-License-Identifier: GPL-3.0-or-later
......
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: GPL-3.0-or-later * SPDX-License-Identifier: GPL-3.0-or-later
......
// SPDX-License-Identifier: GPL-3.0-or-later // SPDX-License-Identifier: GPL-3.0-or-later
/* /*
* Copyright (C) 2019 CERN (www.cern.ch) * Copyright (c) 2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
*/ */
......
/* /*
* Copyright (C) 2015-2016 CERN (www.cern.ch) * Copyright (c) 2015-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: GPL-3.0-or-later * SPDX-License-Identifier: GPL-3.0-or-later
......
/* /*
* Copyright (C) 2015-2016 CERN (www.cern.ch) * Copyright (c) 2015-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: GPL-3.0-or-later * SPDX-License-Identifier: GPL-3.0-or-later
......
/* /*
* Copyright (C) 2014-2016 CERN (www.cern.ch) * Copyright (c) 2014-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* This program is free software: you can redistribute it and/or modify * This program is free software: you can redistribute it and/or modify
......
/* /*
* Copyright (C) 2015-2016 CERN (www.cern.ch) * Copyright (c) 2015-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* SPDX-License-Identifier: GPL-3.0-or-later * SPDX-License-Identifier: GPL-3.0-or-later
......
/* /*
* Copyright (C) 2016 CERN (www.cern.ch) * Copyright (c) 2016-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* *
* This program is free software: you can redistribute it and/or modify * This program is free software: you can redistribute it and/or modify
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment