Commit 474fc489 authored by Federico Vaga's avatar Federico Vaga

REUSE fix licenses

Signed-off-by: Federico Vaga's avatarFederico Vaga <federico.vaga@cern.ch>
parent 8c428433
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
GPATH GPATH
GRTAGS GRTAGS
GTAGS GTAGS
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
--- ---
variables: variables:
KOJI_TARGET: 'ohwr7' KOJI_TARGET: 'ohwr7'
...@@ -14,6 +18,7 @@ reuse: ...@@ -14,6 +18,7 @@ reuse:
image: fsfe/reuse:latest image: fsfe/reuse:latest
script: script:
- reuse lint - reuse lint
allow_failure: true
build: build:
stage: build stage: build
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
[submodule "hdl/ip_cores/general-cores"] [submodule "hdl/ip_cores/general-cores"]
path = hdl/ip_cores/general-cores path = hdl/ip_cores/general-cores
url = https://ohwr.org/project/general-cores.git url = https://ohwr.org/project/general-cores.git
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
[koji] [koji]
server = https://kojihub.cern.ch/kojihub server = https://kojihub.cern.ch/kojihub
weburl = https://koji.cern.ch/ weburl = https://koji.cern.ch/
......
Format: https://www.debian.org/doc/packaging-manuals/copyright-format/1.0/
Upstream-Name: Mock Turtle
Upstream-Contact: Federico Vaga <federico.vaga@cern.ch>
Source: https://www.ohwr.org/project/mock-turtle
Files: doc/conf.py doc/*.rst doc/*.svg doc/*.cdr doc/*.txt doc/*.tex doc/*.eps
Copyright:2019 CERN (home.cern)
License: CC-BY-SA-4.0
Files: software/firmware/Makefile.kconfig software/firmware/scripts/* software/firmware/scripts/*.c software/firmware/scripts/*.h software/firmware/scripts/*.sh
Copyright: Linux
License: GPL-2.0
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
========== ==========
Change Log Change Log
========== ==========
......
This diff is collapsed.
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
=========== ===========
Mock Turtle Mock Turtle
=========== ===========
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
*.o *.o
*.bin *.bin
*.elf *.elf
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
-include Makefile.specific -include Makefile.specific
DIRS := hello_world DIRS := hello_world
DIRS += hello_world_framework DIRS += hello_world_framework
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
-include Makefile.specific -include Makefile.specific
DIRS += firmware DIRS += firmware
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
-include Makefile.specific -include Makefile.specific
DIRS := fw-01 DIRS := fw-01
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
mainmenu "alarm_clock demo configuration" mainmenu "alarm_clock demo configuration"
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
TRTL ?= ../../../../ TRTL ?= ../../../../
TRTL_FW = $(TRTL)/software/firmware TRTL_FW = $(TRTL)/software/firmware
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
OBJS = fw-ac.o OBJS = fw-ac.o
OBJS += # add other object files that you need OBJS += # add other object files that you need
OUTPUT = fw-ac OUTPUT = fw-ac
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
# #
# Automatically generated file; DO NOT EDIT. # Automatically generated file; DO NOT EDIT.
# alarm_clock demo configuration # alarm_clock demo configuration
......
/* /*
* Copyright (c) 2016-2019 CERN (home.cern) * Copyright (c) 2016-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* License: GPLv3 * SPDX-License-Identifier: GPL-3.0-or-later
*/ */
#include <mockturtle-framework.h> #include <mockturtle-framework.h>
......
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/* This is a generic configuration that should work on /* This is a generic configuration that should work on
the SPEC/SVEC demos FPGA */ the SPEC/SVEC demos FPGA */
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
-include Makefile.specific -include Makefile.specific
DIRS += firmware DIRS += firmware
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
-include Makefile.specific -include Makefile.specific
DIRS := fw-01 DIRS := fw-01
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
mainmenu "data_generator demo configuration" mainmenu "data_generator demo configuration"
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
TRTL ?= ../../../../ TRTL ?= ../../../../
TRTL_FW = $(TRTL)/software/firmware TRTL_FW = $(TRTL)/software/firmware
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
OBJS = fw-dg.o OBJS = fw-dg.o
OBJS += # add other object files that you need OBJS += # add other object files that you need
OUTPUT = fw-dg OUTPUT = fw-dg
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
# #
# Automatically generated file; DO NOT EDIT. # Automatically generated file; DO NOT EDIT.
# data_generator demo configuration # data_generator demo configuration
......
/* /*
* Copyright (c) 2016-2019 CERN (home.cern) * Copyright (c) 2016-2019 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
* License: GPLv3 * SPDX-License-Identifier: GPL-3.0-or-later
*/ */
#include <mockturtle-framework.h> #include <mockturtle-framework.h>
......
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/* This is a generic configuration that should work on /* This is a generic configuration that should work on
the SPEC/SVEC demos FPGA */ the SPEC/SVEC demos FPGA */
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
APPLICATION_ID = 0xd330d331 APPLICATION_ID = 0xd330d331
RT_APPLICATION_ID_CPU1 = 0x3456789A RT_APPLICATION_ID_CPU1 = 0x3456789A
RT_APPLICATION_ID_CPU2 = 0xA9876543 RT_APPLICATION_ID_CPU2 = 0xA9876543
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
*.so *.so
*.a *.a
*.mod.c *.mod.c
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
-include Makefile.specific -include Makefile.specific
DIRS := lib DIRS := lib
DIRS += tools DIRS += tools
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
-include Makefile.specific -include Makefile.specific
DIRS := fw-01 fw-02 DIRS := fw-01 fw-02
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
mainmenu "fmc-svec-carrier fw-01 demo configuration" mainmenu "fmc-svec-carrier fw-01 demo configuration"
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
TRTL ?= ../../../../../ TRTL ?= ../../../../../
TRTL_FW = $(TRTL)/software/firmware TRTL_FW = $(TRTL)/software/firmware
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
include $(src)/../../../project.mk include $(src)/../../../project.mk
OBJS = fw-spec.o OBJS = fw-spec.o
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
# #
# Automatically generated file; DO NOT EDIT. # Automatically generated file; DO NOT EDIT.
# fmc-svec-carrier fw-01 demo configuration # fmc-svec-carrier fw-01 demo configuration
......
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/* This is a generic configuration that should work on /* This is a generic configuration that should work on
the SPEC/SVEC demos FPGA */ the SPEC/SVEC demos FPGA */
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
mainmenu "fmc-svec-carrier fw-02 demo configuration" mainmenu "fmc-svec-carrier fw-02 demo configuration"
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
TRTL ?= ../../../../../ TRTL ?= ../../../../../
TRTL_FW = $(TRTL)/software/firmware TRTL_FW = $(TRTL)/software/firmware
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
include $(src)../../../project.mk include $(src)../../../project.mk
OBJS = fw-spec.o OBJS = fw-spec.o
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
# #
# Automatically generated file; DO NOT EDIT. # Automatically generated file; DO NOT EDIT.
# fmc-svec-carrier fw-02 demo configuration # fmc-svec-carrier fw-02 demo configuration
......
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/* This is a generic configuration that should work on /* This is a generic configuration that should work on
the SPEC/SVEC demos FPGA */ the SPEC/SVEC demos FPGA */
......
/* /*
* Copyright (c) 2015-2019 CERN (home.cern) * Copyright (c) 2015-2019 CERN (home.cern)
* SPDX-License-Identifier: LGPL-3.0-or-later
* Author: Federico Vaga <federico.vaga@cern.ch> * Author: Federico Vaga <federico.vaga@cern.ch>
*/ */
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
# If it exists includes Makefile.specific. In this Makefile, you should put # If it exists includes Makefile.specific. In this Makefile, you should put
# specific Makefile code that you want to run before this. For example, # specific Makefile code that you want to run before this. For example,
# build a particular environment. # build a particular environment.
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
mockturtle-spec mockturtle-spec
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
# If it exists includes Makefile.specific. In this Makefile, you should put # If it exists includes Makefile.specific. In this Makefile, you should put
# specific Makefile code that you want to run before this. For example, # specific Makefile code that you want to run before this. For example,
# build a particular environment. # build a particular environment.
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
APPLICATION_ID = 0xd330d330 APPLICATION_ID = 0xd330d330
RT_APPLICATION_ID_CPU1 = 0x23456789 RT_APPLICATION_ID_CPU1 = 0x23456789
RT_APPLICATION_ID_CPU2 = 0x98765432 RT_APPLICATION_ID_CPU2 = 0x98765432
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
*.so *.so
*.a *.a
*.mod.c *.mod.c
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
-include Makefile.specific -include Makefile.specific
DIRS := lib DIRS := lib
DIRS += tools DIRS += tools
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
-include Makefile.specific -include Makefile.specific
DIRS := fw-01 fw-02 DIRS := fw-01 fw-02
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
mainmenu "fmc-svec-carrier fw-01 demo configuration" mainmenu "fmc-svec-carrier fw-01 demo configuration"
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
TRTL ?= ../../../../../ TRTL ?= ../../../../../
TRTL_FW = $(TRTL)/software/firmware TRTL_FW = $(TRTL)/software/firmware
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
include $(src)/../../../project.mk include $(src)/../../../project.mk
OBJS = fw-svec.o OBJS = fw-svec.o
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
# #
# Automatically generated file; DO NOT EDIT. # Automatically generated file; DO NOT EDIT.
# fmc-svec-carrier fw-01 demo configuration # fmc-svec-carrier fw-01 demo configuration
......
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/* This is a generic configuration that should work on /* This is a generic configuration that should work on
the SPEC/SVEC demos FPGA */ the SPEC/SVEC demos FPGA */
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
mainmenu "fmc-svec-carrier fw-02 demo configuration" mainmenu "fmc-svec-carrier fw-02 demo configuration"
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
TRTL ?= ../../../../../ TRTL ?= ../../../../../
TRTL_FW = $(TRTL)/software/firmware TRTL_FW = $(TRTL)/software/firmware
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
include $(src)/../../../project.mk include $(src)/../../../project.mk
OBJS = fw-svec.o OBJS = fw-svec.o
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
# #
# Automatically generated file; DO NOT EDIT. # Automatically generated file; DO NOT EDIT.
# fmc-svec-carrier fw-02 demo configuration # fmc-svec-carrier fw-02 demo configuration
......
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/* This is a generic configuration that should work on /* This is a generic configuration that should work on
the SPEC/SVEC demos FPGA */ the SPEC/SVEC demos FPGA */
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
# If it exists includes Makefile.specific. In this Makefile, you should put # If it exists includes Makefile.specific. In this Makefile, you should put
# specific Makefile code that you want to run before this. For example, # specific Makefile code that you want to run before this. For example,
# build a particular environment. # build a particular environment.
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
mockturtle-svec mockturtle-svec
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
# If it exists includes Makefile.specific. In this Makefile, you should put # If it exists includes Makefile.specific. In this Makefile, you should put
# specific Makefile code that you want to run before this. For example, # specific Makefile code that you want to run before this. For example,
# build a particular environment. # build a particular environment.
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
-include Makefile.specific -include Makefile.specific
DIRS += firmware DIRS += firmware
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
-include Makefile.specific -include Makefile.specific
DIRS := fw-01 DIRS := fw-01
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
mainmenu "hello_world demo configuration" mainmenu "hello_world demo configuration"
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
TRTL ?= ../../../../ TRTL ?= ../../../../
TRTL_FW = $(TRTL)/software/firmware TRTL_FW = $(TRTL)/software/firmware
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
OBJS = fw-hello.o OBJS = fw-hello.o
OBJS += # add other object files that you need OBJS += # add other object files that you need
OUTPUT = fw-hello OUTPUT = fw-hello
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
# #
# Automatically generated file; DO NOT EDIT. # Automatically generated file; DO NOT EDIT.
# hello_world demo configuration # hello_world demo configuration
......
/*
* SPDX-License-Identifier: CC0-1.0
* SPDX-FileCopyrightText: 2019 CERN
*/
#include <mockturtle-rt.h> #include <mockturtle-rt.h>
int main() int main()
......
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/* This is a generic configuration that should work on /* This is a generic configuration that should work on
the SPEC/SVEC demos FPGA */ the SPEC/SVEC demos FPGA */
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
-include Makefile.specific -include Makefile.specific
DIRS += firmware DIRS += firmware
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
-include Makefile.specific -include Makefile.specific
DIRS := fw-01 DIRS := fw-01
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
mainmenu "hello_world_framework demo configuration" mainmenu "hello_world_framework demo configuration"
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
TRTL ?= ../../../../ TRTL ?= ../../../../
TRTL_FW = $(TRTL)/software/firmware TRTL_FW = $(TRTL)/software/firmware
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
OBJS = fw-hellofrm.o OBJS = fw-hellofrm.o
OBJS += # add other object files that you need OBJS += # add other object files that you need
OUTPUT = fw-hellofrm OUTPUT = fw-hellofrm
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
# #
# Automatically generated file; DO NOT EDIT. # Automatically generated file; DO NOT EDIT.
# hello_world_framework demo configuration # hello_world_framework demo configuration
......
/* /*
* Copyright (C) * SPDX-License-Identifier: CC0-1.0
* Author: * SPDX-FileCopyrightText: 2019 CERN
* License:
*/ */
#include <mockturtle-framework.h> #include <mockturtle-framework.h>
......
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/*
* SPDX-License-Identifier: CC0-1.0
*
* SPDX-FileCopyrightText: 2019 CERN
*/
/* This is a generic configuration that should work on /* This is a generic configuration that should work on
the SPEC/SVEC demos FPGA */ the SPEC/SVEC demos FPGA */
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
build build
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
TOP_DIR ?= .. TOP_DIR ?= ..
TRTL ?= $(TOP_DIR) TRTL ?= $(TOP_DIR)
TRTL_SW := $(TRTL)/software TRTL_SW := $(TRTL)/software
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
PACKAGE_NAME="@PKGNAME@" PACKAGE_NAME="@PKGNAME@"
PACKAGE_VERSION="@PKGVER@" PACKAGE_VERSION="@PKGVER@"
CLEAN="make clean" CLEAN="make clean"
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
_* _*
doxygen-trtl-output/ doxygen-trtl-output/
registers/wbgen/ registers/wbgen/
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
# Minimal makefile for Sphinx documentation # Minimal makefile for Sphinx documentation
# #
......
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
.. _arch: .. _arch:
=============================== ===============================
......
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
========================== ==========================
The *Alarm Clock* Demo The *Alarm Clock* Demo
========================== ==========================
......
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
============================== ==============================
The *Data Generator* Demo The *Data Generator* Demo
============================== ==============================
......
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
.. _`demo:spec`: .. _`demo:spec`:
=================== ===================
......
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
.. _`demo:svec`: .. _`demo:svec`:
==================== ====================
......
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
========================== ==========================
The *Hello World* Demo The *Hello World* Demo
========================== ==========================
......
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
.. _`demo`: .. _`demo`:
============ ============
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
PROJECT_NAME = "Mock Turtle" PROJECT_NAME = "Mock Turtle"
PROJECT_NUMBER = $(GIT_VERSION) PROJECT_NUMBER = $(GIT_VERSION)
PROJECT_BRIEF = "Mock Turtle" PROJECT_BRIEF = "Mock Turtle"
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
trtl-rt-library trtl-rt-library
trtl-rt-framework trtl-rt-framework
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
GIT_VERSION = $(shell cd $(src); git describe --dirty --long --tags) GIT_VERSION = $(shell cd $(src); git describe --dirty --long --tags)
DOC ?= .. DOC ?= ..
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
PROJECT_NAME = "Mock Turtle Firmware Framework" PROJECT_NAME = "Mock Turtle Firmware Framework"
PROJECT_NUMBER = $(GIT_VERSION) PROJECT_NUMBER = $(GIT_VERSION)
PROJECT_BRIEF = $(BRIEF) PROJECT_BRIEF = $(BRIEF)
......
# SPDX-License-Identifier: CC0-1.0
#
# SPDX-FileCopyrightText: 2019 CERN
PROJECT_NAME = "Mock Turtle Software" PROJECT_NAME = "Mock Turtle Software"
PROJECT_NUMBER = $(GIT_VERSION) PROJECT_NUMBER = $(GIT_VERSION)
PROJECT_BRIEF = PROJECT_BRIEF =
......
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
======== ========
Glossary Glossary
======== ========
......
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
=============== ===============
The HDL Core The HDL Core
=============== ===============
......
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
.. Mock Turtle documentation master file, created by .. Mock Turtle documentation master file, created by
sphinx-quickstart on Wed Mar 7 15:12:58 2018. sphinx-quickstart on Wed Mar 7 15:12:58 2018.
You can adapt this file completely to your liking, but it should at least You can adapt this file completely to your liking, but it should at least
......
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
.. _introduction:description: .. _introduction:description:
============ ============
......
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
.. highlight:: none .. highlight:: none
=============== ===============
......
..
SPDX-License-Identifier: CC-BY-SA-4.0
SPDX-FileCopyrightText: 2019 CERN
:orphan: :orphan:
.. _wbgen_csr: .. _wbgen_csr:
......
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment