Commit 8d60f268 authored by Tristan Gingold's avatar Tristan Gingold

update urv-core version.

parent bb80c5b3
urv-core @ 5c7e906c
Subproject commit 70e9e78f740aa7f4d8168ccaa003bf3924824284
Subproject commit 5c7e906ceb6b15f53830061c9087cce0befef13a
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment