Commit 904b841c authored by Federico Vaga's avatar Federico Vaga

demos: remove useless files

Signed-off-by: Federico Vaga's avatarFederico Vaga <federico.vaga@cern.ch>
parent ee00b9ec
# Change Log
## [0.0.0] - 2018-06-06
This start the project fmc-spec-carrier from the Mock Turtle template.
It includes basic files for hdl and software development
This is the README file for the fmc-spec-carrier project.
GIT_VERSION = $(shell cd $(src); git describe --dirty --long --tags)
all: doxygen
doxygen:
GIT_VERSION=$(GIT_VERSION) EXCLUDE_FILES=$(EXCLUDE_FILES) BRIEF=$(BRIEF) \
doxygen ./doxygen-spec-config
.PHONY: all doxygen
PROJECT_NAME = "${name}"
PROJECT_NUMBER = $(GIT_VERSION)
PROJECT_BRIEF =
PROJECT_LOGO =
OUTPUT_DIRECTORY = doxygen-${short_name}
CREATE_SUBDIRS = YES
TAB_SIZE = 8
OPTIMIZE_OUTPUT_FOR_C = YES
EXTRACT_STATIC = YES
CASE_SENSE_NAMES = YES
WARN_NO_PARAMDOC = YES
INPUT = ../lib
RECURSIVE = YES
EXCLUDE =
GENERATE_HTML = YES
GENERATE_LATEX = YES
\ No newline at end of file
-include Makefile.specific
# If needed add here images conversion
\ No newline at end of file
# Change Log
## [0.0.0] - 2018-03-16
This start the project fmc-svec-carrier from the Mock Turtle template.
It includes basic files for hdl and software development
\ No newline at end of file
This is the README file for the fmc-svec-carrier project.
\ No newline at end of file
GIT_VERSION = $(shell cd $(src); git describe --dirty --long --tags)
all: doxygen
doxygen:
GIT_VERSION=$(GIT_VERSION) EXCLUDE_FILES=$(EXCLUDE_FILES) BRIEF=$(BRIEF) \
doxygen ./doxygen-${short_name}-config
.PHONY: all doxygen
\ No newline at end of file
PROJECT_NAME = "${name}"
PROJECT_NUMBER = $(GIT_VERSION)
PROJECT_BRIEF =
PROJECT_LOGO =
OUTPUT_DIRECTORY = doxygen-${short_name}
CREATE_SUBDIRS = YES
TAB_SIZE = 8
OPTIMIZE_OUTPUT_FOR_C = YES
EXTRACT_STATIC = YES
CASE_SENSE_NAMES = YES
WARN_NO_PARAMDOC = YES
INPUT = ../lib
RECURSIVE = YES
EXCLUDE =
GENERATE_HTML = YES
GENERATE_LATEX = YES
\ No newline at end of file
-include Makefile.specific
# If needed add here images conversion
\ No newline at end of file
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment