add missing coregen components

parent 35614b1c
!.gitignore
!*.vhd
!*.ngc
!*.xco
files = ["clk_gen.vhd",
"ila.ngc",
"ila_icon.ngc",
"fifo_64x512.ngc",
"fifo_32x512.ngc",
"l2p_fifo.ngc",
"rx_bridge_fifo.ngc",
"rx_channel_fifo.ngc",
"rx_bridge_ctrl_fifo.ngc",
"ila.vhd",
"ila_icon.vhd",
"fifo_64x512.vhd",
"fifo_32x512.vhd",
"l2p_fifo.vhd",
"rx_bridge_fifo.vhd",
"rx_channel_fifo.vhd",
"rx_bridge_ctrl_fifo.vhd"]
-- file: clk_gen.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___640.000______0.000______50.0______175.916____213.982
-- CLK_OUT2___160.000______0.000______50.0______223.480____213.982
-- CLK_OUT3____80.000______0.000______50.0______263.295____213.982
-- CLK_OUT4____40.000______0.000______50.0______306.416____213.982
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary______________40____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_gen is
port
(-- Clock in ports
CLK_40_IN : in std_logic;
CLKFB_IN : in std_logic;
-- Clock out ports
CLK_640 : out std_logic;
CLK_160 : out std_logic;
CLK_80 : out std_logic;
CLK_40 : out std_logic;
CLKFB_OUT : out std_logic;
-- Status and control signals
RESET : in std_logic;
LOCKED : out std_logic
);
end clk_gen;
architecture xilinx of clk_gen is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_gen,clk_wiz_v3_6,{component_name=clk_gen,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_ONCHIP,primtype_sel=PLL_BASE,num_out_clk=4,clkin1_period=25.000,clkin2_period=25.000,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1 : std_logic;
signal clkout2 : std_logic;
signal clkout3 : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_40_IN;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "SYSTEM_SYNCHRONOUS",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 16,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 1,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT1_DIVIDE => 4,
CLKOUT1_PHASE => 0.000,
CLKOUT1_DUTY_CYCLE => 0.500,
CLKOUT2_DIVIDE => 8,
CLKOUT2_PHASE => 0.000,
CLKOUT2_DUTY_CYCLE => 0.500,
CLKOUT3_DIVIDE => 16,
CLKOUT3_PHASE => 0.000,
CLKOUT3_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 25.000,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1,
CLKOUT2 => clkout2,
CLKOUT3 => clkout3,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
-- Status and control signals
LOCKED => LOCKED,
RST => RESET,
-- Input clock control
CLKFBIN => CLKFB_IN,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
CLKFB_OUT <= clkfbout;
CLK_640 <= clkout0;
CLK_160 <= clkout1;
CLK_80 <= clkout2;
CLK_40 <= clkout3;
end xilinx;
##############################################################
#
# Xilinx Core Generator version 14.7
# Date: Thu Sep 18 13:22:36 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:clk_wiz:3.6
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Clocking_Wizard xilinx.com:ip:clk_wiz:3.6
# END Select
# BEGIN Parameters
CSET calc_done=DONE
CSET clk_in_sel_port=CLK_IN_SEL
CSET clk_out1_port=CLK_640
CSET clk_out1_use_fine_ps_gui=false
CSET clk_out2_port=CLK_160
CSET clk_out2_use_fine_ps_gui=false
CSET clk_out3_port=CLK_80
CSET clk_out3_use_fine_ps_gui=false
CSET clk_out4_port=CLK_40
CSET clk_out4_use_fine_ps_gui=false
CSET clk_out5_port=CLK_OUT5
CSET clk_out5_use_fine_ps_gui=false
CSET clk_out6_port=CLK_OUT6
CSET clk_out6_use_fine_ps_gui=false
CSET clk_out7_port=CLK_OUT7
CSET clk_out7_use_fine_ps_gui=false
CSET clk_valid_port=CLK_VALID
CSET clkfb_in_n_port=CLKFB_IN_N
CSET clkfb_in_p_port=CLKFB_IN_P
CSET clkfb_in_port=CLKFB_IN
CSET clkfb_in_signaling=SINGLE
CSET clkfb_out_n_port=CLKFB_OUT_N
CSET clkfb_out_p_port=CLKFB_OUT_P
CSET clkfb_out_port=CLKFB_OUT
CSET clkfb_stopped_port=CLKFB_STOPPED
CSET clkin1_jitter_ps=250.0
CSET clkin1_ui_jitter=0.010
CSET clkin2_jitter_ps=100.0
CSET clkin2_ui_jitter=0.010
CSET clkout1_drives=No_buffer
CSET clkout1_requested_duty_cycle=50.000
CSET clkout1_requested_out_freq=640
CSET clkout1_requested_phase=0.000
CSET clkout2_drives=No_buffer
CSET clkout2_requested_duty_cycle=50.000
CSET clkout2_requested_out_freq=160
CSET clkout2_requested_phase=0.000
CSET clkout2_used=true
CSET clkout3_drives=No_buffer
CSET clkout3_requested_duty_cycle=50.000
CSET clkout3_requested_out_freq=80
CSET clkout3_requested_phase=0.000
CSET clkout3_used=true
CSET clkout4_drives=No_buffer
CSET clkout4_requested_duty_cycle=50.000
CSET clkout4_requested_out_freq=40
CSET clkout4_requested_phase=0.000
CSET clkout4_used=true
CSET clkout5_drives=BUFG
CSET clkout5_requested_duty_cycle=50.000
CSET clkout5_requested_out_freq=100.000
CSET clkout5_requested_phase=0.000
CSET clkout5_used=false
CSET clkout6_drives=BUFG
CSET clkout6_requested_duty_cycle=50.000
CSET clkout6_requested_out_freq=100.000
CSET clkout6_requested_phase=0.000
CSET clkout6_used=false
CSET clkout7_drives=BUFG
CSET clkout7_requested_duty_cycle=50.000
CSET clkout7_requested_out_freq=100.000
CSET clkout7_requested_phase=0.000
CSET clkout7_used=false
CSET clock_mgr_type=AUTO
CSET component_name=clk_gen
CSET daddr_port=DADDR
CSET dclk_port=DCLK
CSET dcm_clk_feedback=1X
CSET dcm_clk_out1_port=CLK0
CSET dcm_clk_out2_port=CLKFX
CSET dcm_clk_out3_port=CLK0
CSET dcm_clk_out4_port=CLK0
CSET dcm_clk_out5_port=CLK0
CSET dcm_clk_out6_port=CLK0
CSET dcm_clkdv_divide=2.0
CSET dcm_clkfx_divide=12
CSET dcm_clkfx_multiply=25
CSET dcm_clkgen_clk_out1_port=CLKFX
CSET dcm_clkgen_clk_out2_port=CLKFX
CSET dcm_clkgen_clk_out3_port=CLKFX
CSET dcm_clkgen_clkfx_divide=1
CSET dcm_clkgen_clkfx_md_max=0.000
CSET dcm_clkgen_clkfx_multiply=4
CSET dcm_clkgen_clkfxdv_divide=2
CSET dcm_clkgen_clkin_period=10.000
CSET dcm_clkgen_notes=None
CSET dcm_clkgen_spread_spectrum=NONE
CSET dcm_clkgen_startup_wait=false
CSET dcm_clkin_divide_by_2=true
CSET dcm_clkin_period=3.125
CSET dcm_clkout_phase_shift=NONE
CSET dcm_deskew_adjust=SYSTEM_SYNCHRONOUS
CSET dcm_notes=None
CSET dcm_phase_shift=0
CSET dcm_pll_cascade=NONE
CSET dcm_startup_wait=false
CSET den_port=DEN
CSET din_port=DIN
CSET dout_port=DOUT
CSET drdy_port=DRDY
CSET dwe_port=DWE
CSET feedback_source=FDBK_ONCHIP
CSET in_freq_units=Units_MHz
CSET in_jitter_units=Units_UI
CSET input_clk_stopped_port=INPUT_CLK_STOPPED
CSET jitter_options=UI
CSET jitter_sel=No_Jitter
CSET locked_port=LOCKED
CSET mmcm_bandwidth=OPTIMIZED
CSET mmcm_clkfbout_mult_f=4.000
CSET mmcm_clkfbout_phase=0.000
CSET mmcm_clkfbout_use_fine_ps=false
CSET mmcm_clkin1_period=10.000
CSET mmcm_clkin2_period=10.000
CSET mmcm_clkout0_divide_f=4.000
CSET mmcm_clkout0_duty_cycle=0.500
CSET mmcm_clkout0_phase=0.000
CSET mmcm_clkout0_use_fine_ps=false
CSET mmcm_clkout1_divide=1
CSET mmcm_clkout1_duty_cycle=0.500
CSET mmcm_clkout1_phase=0.000
CSET mmcm_clkout1_use_fine_ps=false
CSET mmcm_clkout2_divide=1
CSET mmcm_clkout2_duty_cycle=0.500
CSET mmcm_clkout2_phase=0.000
CSET mmcm_clkout2_use_fine_ps=false
CSET mmcm_clkout3_divide=1
CSET mmcm_clkout3_duty_cycle=0.500
CSET mmcm_clkout3_phase=0.000
CSET mmcm_clkout3_use_fine_ps=false
CSET mmcm_clkout4_cascade=false
CSET mmcm_clkout4_divide=1
CSET mmcm_clkout4_duty_cycle=0.500
CSET mmcm_clkout4_phase=0.000
CSET mmcm_clkout4_use_fine_ps=false
CSET mmcm_clkout5_divide=1
CSET mmcm_clkout5_duty_cycle=0.500
CSET mmcm_clkout5_phase=0.000
CSET mmcm_clkout5_use_fine_ps=false
CSET mmcm_clkout6_divide=1
CSET mmcm_clkout6_duty_cycle=0.500
CSET mmcm_clkout6_phase=0.000
CSET mmcm_clkout6_use_fine_ps=false
CSET mmcm_clock_hold=false
CSET mmcm_compensation=ZHOLD
CSET mmcm_divclk_divide=1
CSET mmcm_notes=None
CSET mmcm_ref_jitter1=0.010
CSET mmcm_ref_jitter2=0.010
CSET mmcm_startup_wait=false
CSET num_out_clks=4
CSET override_dcm=false
CSET override_dcm_clkgen=false
CSET override_mmcm=false
CSET override_pll=false
CSET platform=lin64
CSET pll_bandwidth=OPTIMIZED
CSET pll_clk_feedback=CLKFBOUT
CSET pll_clkfbout_mult=16
CSET pll_clkfbout_phase=0.000
CSET pll_clkin_period=25.000
CSET pll_clkout0_divide=1
CSET pll_clkout0_duty_cycle=0.500
CSET pll_clkout0_phase=0.000
CSET pll_clkout1_divide=4
CSET pll_clkout1_duty_cycle=0.500
CSET pll_clkout1_phase=0.000
CSET pll_clkout2_divide=8
CSET pll_clkout2_duty_cycle=0.500
CSET pll_clkout2_phase=0.000
CSET pll_clkout3_divide=16
CSET pll_clkout3_duty_cycle=0.500
CSET pll_clkout3_phase=0.000
CSET pll_clkout4_divide=1
CSET pll_clkout4_duty_cycle=0.500
CSET pll_clkout4_phase=0.000
CSET pll_clkout5_divide=1
CSET pll_clkout5_duty_cycle=0.500
CSET pll_clkout5_phase=0.000
CSET pll_compensation=SYSTEM_SYNCHRONOUS
CSET pll_divclk_divide=1
CSET pll_notes=None
CSET pll_ref_jitter=0.010
CSET power_down_port=POWER_DOWN
CSET prim_in_freq=40
CSET prim_in_jitter=0.010
CSET prim_source=No_buffer
CSET primary_port=CLK_40_IN
CSET primitive=MMCM
CSET primtype_sel=PLL_BASE
CSET psclk_port=PSCLK
CSET psdone_port=PSDONE
CSET psen_port=PSEN
CSET psincdec_port=PSINCDEC
CSET relative_inclk=REL_PRIMARY
CSET reset_port=RESET
CSET secondary_in_freq=100.000
CSET secondary_in_jitter=0.010
CSET secondary_port=CLK_IN2
CSET secondary_source=Single_ended_clock_capable_pin
CSET ss_mod_freq=250
CSET ss_mode=CENTER_HIGH
CSET status_port=STATUS
CSET summary_strings=empty
CSET use_clk_valid=false
CSET use_clkfb_stopped=false
CSET use_dyn_phase_shift=false
CSET use_dyn_reconfig=false
CSET use_freeze=false
CSET use_freq_synth=true
CSET use_inclk_stopped=false
CSET use_inclk_switchover=false
CSET use_locked=true
CSET use_max_i_jitter=false
CSET use_min_o_jitter=false
CSET use_min_power=false
CSET use_phase_alignment=true
CSET use_power_down=false
CSET use_reset=true
CSET use_spread_spectrum=false
CSET use_spread_spectrum_1=false
CSET use_status=false
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-05-10T12:44:55Z
# END Extra information
GENERATE
# CRC: c4e6fa34
SET busformat = BusFormatAngleBracketNotRipped
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET package = fgg484
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
##############################################################
#
# Xilinx Core Generator version 13.3
# Date: Wed Jul 11 09:32:59 2012
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:mig:3.9
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT MIG_Virtex-6_and_Spartan-6 family Xilinx,_Inc. 3.9
# END Select
# BEGIN Parameters
CSET component_name=ddr3_ctrl_spec_bank3_32b_32b
CSET xml_input_file=./ddr3_ctrl_spec_bank3_32b_32b/user_design/mig.prj
# END Parameters
GENERATE
# CRC: 8651ae82
##############################################################
#
# Xilinx Core Generator version 13.3
# Date: Wed Jul 11 09:34:06 2012
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:mig:3.9
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT MIG_Virtex-6_and_Spartan-6 family Xilinx,_Inc. 3.9
# END Select
# BEGIN Parameters
CSET component_name=ddr3_ctrl_spec_bank3_64b_32b
CSET xml_input_file=./ddr3_ctrl_spec_bank3_64b_32b/user_design/mig.prj
# END Parameters
GENERATE
# CRC: 38a6663a
This source diff could not be displayed because it is too large. You can view the blob instead.
--------------------------------------------------------------------------------
-- (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. --
-- --
-- This file contains confidential and proprietary information --
-- of Xilinx, Inc. and is protected under U.S. and --
-- international copyright and other intellectual property --
-- laws. --
-- --
-- DISCLAIMER --
-- This disclaimer is not a license and does not grant any --
-- rights to the materials distributed herewith. Except as --
-- otherwise provided in a valid license issued to you by --
-- Xilinx, and to the maximum extent permitted by applicable --
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND --
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES --
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING --
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- --
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and --
-- (2) Xilinx shall not be liable (whether in contract or tort, --
-- including negligence, or under any other theory of --
-- liability) for any loss or damage of any kind or nature --
-- related to, arising under or in connection with these --
-- materials, including for any direct, or any indirect, --
-- special, incidental, or consequential loss or damage --
-- (including loss of data, profits, goodwill, or any type of --
-- loss or damage suffered as a result of any action brought --
-- by a third party) even if such damage or loss was --
-- reasonably foreseeable or Xilinx had been advised of the --
-- possibility of the same. --
-- --
-- CRITICAL APPLICATIONS --
-- Xilinx products are not designed or intended to be fail- --
-- safe, or for use in any application requiring fail-safe --
-- performance, such as life-support or safety devices or --
-- systems, Class III medical devices, nuclear facilities, --
-- applications related to the deployment of airbags, or any --
-- other applications that could lead to death, personal --
-- injury, or severe property or environmental damage --
-- (individually and collectively, "Critical --
-- Applications"). Customer assumes the sole risk and --
-- liability of any use of Xilinx products in Critical --
-- Applications, subject only to applicable laws and --
-- regulations governing limitations on product liability. --
-- --
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS --
-- PART OF THIS FILE AT ALL TIMES. --
--------------------------------------------------------------------------------
-- Generated from component ID: xilinx.com:ip:fifo_generator:6.2
-- You must compile the wrapper file fifo_32x512.vhd when simulating
-- the core, fifo_32x512. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY fifo_32x512 IS
port (
rst: in std_logic;
wr_clk: in std_logic;
rd_clk: in std_logic;
din: in std_logic_vector(31 downto 0);
wr_en: in std_logic;
rd_en: in std_logic;
prog_full_thresh_assert: in std_logic_vector(9 downto 0);
prog_full_thresh_negate: in std_logic_vector(9 downto 0);
dout: out std_logic_vector(31 downto 0);
full: out std_logic;
empty: out std_logic;
valid: out std_logic;
prog_full: out std_logic);
END fifo_32x512;
ARCHITECTURE fifo_32x512_a OF fifo_32x512 IS
-- synthesis translate_off
component wrapped_fifo_32x512
port (
rst: in std_logic;
wr_clk: in std_logic;
rd_clk: in std_logic;
din: in std_logic_vector(31 downto 0);
wr_en: in std_logic;
rd_en: in std_logic;
prog_full_thresh_assert: in std_logic_vector(9 downto 0);
prog_full_thresh_negate: in std_logic_vector(9 downto 0);
dout: out std_logic_vector(31 downto 0);
full: out std_logic;
empty: out std_logic;
valid: out std_logic;
prog_full: out std_logic);
end component;
-- Configuration specification
for all : wrapped_fifo_32x512 use entity XilinxCoreLib.fifo_generator_v6_2(behavioral)
generic map(
c_has_int_clk => 0,
c_wr_response_latency => 1,
c_rd_freq => 1,
c_has_srst => 0,
c_enable_rst_sync => 1,
c_has_rd_data_count => 0,
c_din_width => 32,
c_has_wr_data_count => 0,
c_full_flags_rst_val => 1,
c_implementation_type => 2,
c_family => "spartan6",
c_use_embedded_reg => 0,
c_has_wr_rst => 0,
c_wr_freq => 1,
c_use_dout_rst => 1,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_preload_latency => 1,
c_dout_width => 32,
c_msgon_val => 1,
c_rd_depth => 1024,
c_default_value => "BlankString",
c_mif_file_name => "BlankString",
c_error_injection_type => 0,
c_has_underflow => 0,
c_has_rd_rst => 0,
c_has_almost_full => 0,
c_has_rst => 1,
c_data_count_width => 10,
c_has_wr_ack => 0,
c_use_ecc => 0,
c_wr_ack_low => 0,
c_common_clock => 0,
c_rd_pntr_width => 10,
c_use_fwft_data_count => 0,
c_has_almost_empty => 0,
c_rd_data_count_width => 10,
c_enable_rlocs => 0,
c_wr_pntr_width => 10,
c_overflow_low => 0,
c_prog_empty_type => 0,
c_optimization_mode => 0,
c_wr_data_count_width => 10,
c_preload_regs => 0,
c_dout_rst_val => "0",
c_has_data_count => 0,
c_prog_full_thresh_negate_val => 1020,
c_wr_depth => 1024,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_thresh_assert_val => 2,
c_has_valid => 1,
c_init_wr_pntr_val => 0,
c_prog_full_thresh_assert_val => 1021,
c_use_fifo16_flags => 0,
c_has_backup => 0,
c_valid_low => 0,
c_prim_fifo_type => "1kx36",
c_count_type => 0,
c_prog_full_type => 4,
c_memory_type => 1);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_fifo_32x512
port map (
rst => rst,
wr_clk => wr_clk,
rd_clk => rd_clk,
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_full_thresh_assert => prog_full_thresh_assert,
prog_full_thresh_negate => prog_full_thresh_negate,
dout => dout,
full => full,
empty => empty,
valid => valid,
prog_full => prog_full);
-- synthesis translate_on
END fifo_32x512_a;
##############################################################
#
# Xilinx Core Generator version 14.4
# Date: Mon Jun 16 08:46:40 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:6.2
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Foundation_ISE
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Fifo_Generator family Xilinx,_Inc. 6.2
# END Select
# BEGIN Parameters
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET component_name=fifo_32x512
CSET data_count=false
CSET data_count_width=10
CSET disable_timing_violations=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=2
CSET empty_threshold_negate_value=3
CSET enable_ecc=false
CSET enable_int_clk=false
CSET enable_reset_synchronization=true
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=1021
CSET full_threshold_negate_value=1020
CSET inject_dbit_error=false
CSET inject_sbit_error=false
CSET input_data_width=32
CSET input_depth=1024
CSET output_data_width=32
CSET output_depth=1024
CSET overflow_flag=false
CSET overflow_sense=Active_High
CSET performance_options=Standard_FIFO
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_full_type=Multiple_Programmable_Full_Threshold_Input_Ports
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=10
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET underflow_flag=false
CSET underflow_sense=Active_High
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=true
CSET valid_sense=Active_High
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=10
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-12-18T05:23:34Z
# END Extra information
GENERATE
# CRC: d005af87
This source diff could not be displayed because it is too large. You can view the blob instead.
--------------------------------------------------------------------------------
-- (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. --
-- --
-- This file contains confidential and proprietary information --
-- of Xilinx, Inc. and is protected under U.S. and --
-- international copyright and other intellectual property --
-- laws. --
-- --
-- DISCLAIMER --
-- This disclaimer is not a license and does not grant any --
-- rights to the materials distributed herewith. Except as --
-- otherwise provided in a valid license issued to you by --
-- Xilinx, and to the maximum extent permitted by applicable --
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND --
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES --
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING --
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- --
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and --
-- (2) Xilinx shall not be liable (whether in contract or tort, --
-- including negligence, or under any other theory of --
-- liability) for any loss or damage of any kind or nature --
-- related to, arising under or in connection with these --
-- materials, including for any direct, or any indirect, --
-- special, incidental, or consequential loss or damage --
-- (including loss of data, profits, goodwill, or any type of --
-- loss or damage suffered as a result of any action brought --
-- by a third party) even if such damage or loss was --
-- reasonably foreseeable or Xilinx had been advised of the --
-- possibility of the same. --
-- --
-- CRITICAL APPLICATIONS --
-- Xilinx products are not designed or intended to be fail- --
-- safe, or for use in any application requiring fail-safe --
-- performance, such as life-support or safety devices or --
-- systems, Class III medical devices, nuclear facilities, --
-- applications related to the deployment of airbags, or any --
-- other applications that could lead to death, personal --
-- injury, or severe property or environmental damage --
-- (individually and collectively, "Critical --
-- Applications"). Customer assumes the sole risk and --
-- liability of any use of Xilinx products in Critical --
-- Applications, subject only to applicable laws and --
-- regulations governing limitations on product liability. --
-- --
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS --
-- PART OF THIS FILE AT ALL TIMES. --
--------------------------------------------------------------------------------
-- Generated from component ID: xilinx.com:ip:fifo_generator:6.2
-- You must compile the wrapper file fifo_64x512.vhd when simulating
-- the core, fifo_64x512. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY fifo_64x512 IS
port (
rst: in std_logic;
wr_clk: in std_logic;
rd_clk: in std_logic;
din: in std_logic_vector(63 downto 0);
wr_en: in std_logic;
rd_en: in std_logic;
prog_full_thresh_assert: in std_logic_vector(8 downto 0);
prog_full_thresh_negate: in std_logic_vector(8 downto 0);
dout: out std_logic_vector(63 downto 0);
full: out std_logic;
empty: out std_logic;
valid: out std_logic;
prog_full: out std_logic);
END fifo_64x512;
ARCHITECTURE fifo_64x512_a OF fifo_64x512 IS
-- synthesis translate_off
component wrapped_fifo_64x512
port (
rst: in std_logic;
wr_clk: in std_logic;
rd_clk: in std_logic;
din: in std_logic_vector(63 downto 0);
wr_en: in std_logic;
rd_en: in std_logic;
prog_full_thresh_assert: in std_logic_vector(8 downto 0);
prog_full_thresh_negate: in std_logic_vector(8 downto 0);
dout: out std_logic_vector(63 downto 0);
full: out std_logic;
empty: out std_logic;
valid: out std_logic;
prog_full: out std_logic);
end component;
-- Configuration specification
for all : wrapped_fifo_64x512 use entity XilinxCoreLib.fifo_generator_v6_2(behavioral)
generic map(
c_has_int_clk => 0,
c_wr_response_latency => 1,
c_rd_freq => 1,
c_has_srst => 0,
c_enable_rst_sync => 1,
c_has_rd_data_count => 0,
c_din_width => 64,
c_has_wr_data_count => 0,
c_full_flags_rst_val => 1,
c_implementation_type => 2,
c_family => "spartan6",
c_use_embedded_reg => 0,
c_has_wr_rst => 0,
c_wr_freq => 1,
c_use_dout_rst => 1,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_preload_latency => 1,
c_dout_width => 64,
c_msgon_val => 1,
c_rd_depth => 512,
c_default_value => "BlankString",
c_mif_file_name => "BlankString",
c_error_injection_type => 0,
c_has_underflow => 0,
c_has_rd_rst => 0,
c_has_almost_full => 0,
c_has_rst => 1,
c_data_count_width => 9,
c_has_wr_ack => 0,
c_use_ecc => 0,
c_wr_ack_low => 0,
c_common_clock => 0,
c_rd_pntr_width => 9,
c_use_fwft_data_count => 0,
c_has_almost_empty => 0,
c_rd_data_count_width => 9,
c_enable_rlocs => 0,
c_wr_pntr_width => 9,
c_overflow_low => 0,
c_prog_empty_type => 0,
c_optimization_mode => 0,
c_wr_data_count_width => 9,
c_preload_regs => 0,
c_dout_rst_val => "0",
c_has_data_count => 0,
c_prog_full_thresh_negate_val => 508,
c_wr_depth => 512,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_thresh_assert_val => 2,
c_has_valid => 1,
c_init_wr_pntr_val => 0,
c_prog_full_thresh_assert_val => 509,
c_use_fifo16_flags => 0,
c_has_backup => 0,
c_valid_low => 0,
c_prim_fifo_type => "512x72",
c_count_type => 0,
c_prog_full_type => 4,
c_memory_type => 1);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_fifo_64x512
port map (
rst => rst,
wr_clk => wr_clk,
rd_clk => rd_clk,
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_full_thresh_assert => prog_full_thresh_assert,
prog_full_thresh_negate => prog_full_thresh_negate,
dout => dout,
full => full,
empty => empty,
valid => valid,
prog_full => prog_full);
-- synthesis translate_on
END fifo_64x512_a;
##############################################################
#
# Xilinx Core Generator version 14.4
# Date: Mon Jun 16 08:47:45 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:6.2
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Foundation_ISE
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Fifo_Generator family Xilinx,_Inc. 6.2
# END Select
# BEGIN Parameters
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET component_name=fifo_64x512
CSET data_count=false
CSET data_count_width=9
CSET disable_timing_violations=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=2
CSET empty_threshold_negate_value=3
CSET enable_ecc=false
CSET enable_int_clk=false
CSET enable_reset_synchronization=true
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=509
CSET full_threshold_negate_value=508
CSET inject_dbit_error=false
CSET inject_sbit_error=false
CSET input_data_width=64
CSET input_depth=512
CSET output_data_width=64
CSET output_depth=512
CSET overflow_flag=false
CSET overflow_sense=Active_High
CSET performance_options=Standard_FIFO
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_full_type=Multiple_Programmable_Full_Threshold_Input_Ports
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=9
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET underflow_flag=false
CSET underflow_sense=Active_High
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=true
CSET valid_sense=Active_High
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=9
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-12-18T05:23:34Z
# END Extra information
GENERATE
# CRC: b6838683
This source diff could not be displayed because it is too large. You can view the blob instead.
-------------------------------------------------------------------------------
-- Copyright (c) 2014 Xilinx, Inc.
-- All Rights Reserved
-------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 14.4
-- \ \ Application: XILINX CORE Generator
-- / / Filename : ila.vhd
-- /___/ /\ Timestamp : Mon Sep 01 16:46:41 CEST 2014
-- \ \ / \
-- \___\/\___\
--
-- Design Name: VHDL Synthesis Wrapper
-------------------------------------------------------------------------------
-- This wrapper is used to integrate with Project Navigator and PlanAhead
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY ila IS
port (
CONTROL: inout std_logic_vector(35 downto 0);
CLK: in std_logic;
TRIG0: in std_logic_vector(31 downto 0);
TRIG1: in std_logic_vector(31 downto 0);
TRIG2: in std_logic_vector(31 downto 0));
END ila;
ARCHITECTURE ila_a OF ila IS
BEGIN
END ila_a;
##############################################################
#
# Xilinx Core Generator version 14.4
# Date: Mon Sep 1 14:45:12 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:chipscope_ila:1.05.a
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Structural
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.05.a
# END Select
# BEGIN Parameters
CSET check_bramcount=false
CSET component_name=ila
CSET constraint_type=external
CSET counter_width_1=Disabled
CSET counter_width_10=Disabled
CSET counter_width_11=Disabled
CSET counter_width_12=Disabled
CSET counter_width_13=Disabled
CSET counter_width_14=Disabled
CSET counter_width_15=Disabled
CSET counter_width_16=Disabled
CSET counter_width_2=Disabled
CSET counter_width_3=Disabled
CSET counter_width_4=Disabled
CSET counter_width_5=Disabled
CSET counter_width_6=Disabled
CSET counter_width_7=Disabled
CSET counter_width_8=Disabled
CSET counter_width_9=Disabled
CSET data_port_width=0
CSET data_same_as_trigger=true
CSET disable_save_keep=false
CSET enable_storage_qualification=true
CSET enable_trigger_output_port=false
CSET example_design=false
CSET exclude_from_data_storage_1=false
CSET exclude_from_data_storage_10=false
CSET exclude_from_data_storage_11=false
CSET exclude_from_data_storage_12=false
CSET exclude_from_data_storage_13=false
CSET exclude_from_data_storage_14=false
CSET exclude_from_data_storage_15=false
CSET exclude_from_data_storage_16=false
CSET exclude_from_data_storage_2=false
CSET exclude_from_data_storage_3=false
CSET exclude_from_data_storage_4=false
CSET exclude_from_data_storage_5=false
CSET exclude_from_data_storage_6=false
CSET exclude_from_data_storage_7=false
CSET exclude_from_data_storage_8=false
CSET exclude_from_data_storage_9=false
CSET match_type_1=basic_with_edges
CSET match_type_10=basic_with_edges
CSET match_type_11=basic_with_edges
CSET match_type_12=basic_with_edges
CSET match_type_13=basic_with_edges
CSET match_type_14=basic_with_edges
CSET match_type_15=basic_with_edges
CSET match_type_16=basic_with_edges
CSET match_type_2=basic_with_edges
CSET match_type_3=basic_with_edges
CSET match_type_4=basic_with_edges
CSET match_type_5=basic_with_edges
CSET match_type_6=basic_with_edges
CSET match_type_7=basic_with_edges
CSET match_type_8=basic_with_edges
CSET match_type_9=basic_with_edges
CSET match_units_1=1
CSET match_units_10=1
CSET match_units_11=1
CSET match_units_12=1
CSET match_units_13=1
CSET match_units_14=1
CSET match_units_15=1
CSET match_units_16=1
CSET match_units_2=1
CSET match_units_3=1
CSET match_units_4=1
CSET match_units_5=1
CSET match_units_6=1
CSET match_units_7=1
CSET match_units_8=1
CSET match_units_9=1
CSET max_sequence_levels=1
CSET number_of_trigger_ports=3
CSET sample_data_depth=8192
CSET sample_on=Rising
CSET trigger_port_width_1=32
CSET trigger_port_width_10=8
CSET trigger_port_width_11=8
CSET trigger_port_width_12=8
CSET trigger_port_width_13=8
CSET trigger_port_width_14=8
CSET trigger_port_width_15=8
CSET trigger_port_width_16=8
CSET trigger_port_width_2=32
CSET trigger_port_width_3=32
CSET trigger_port_width_4=8
CSET trigger_port_width_5=8
CSET trigger_port_width_6=8
CSET trigger_port_width_7=8
CSET trigger_port_width_8=8
CSET trigger_port_width_9=8
CSET use_rpms=false
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-12-18T02:47:40Z
# END Extra information
GENERATE
# CRC: 684e9a54
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$0`;7=*981;86>?00684565<2:;<9:4012;0>6799>0<=<?4:23052<892;86>>01684466<2:9<=:405230>6?89;0=95>0127?406881986<?016864679>18:7GAOTV9GJHSZFF;0>;50?3801=389::75:4812355=?89;3<=>?0133456b3KYHMCQ\P@PWEK033K_MK55MUR]JJCI63J=0OL^[USG1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOO50<KEA:4=84CMI1353<KEA9T55LLJ0[5=623JF@5=;4CMIB52=DDBK:H?94CMIB5A203JF@M<J75:AOOG723JF@O<;4CMIG50=DDBN]o6MCKET\@LPNLL?0OAEIX99@HN@_91:87NB]9:ALIHOS\LNi7NAATSMO4969k2IDBY\@L1>24;e<KFD_^BB?<03=g>EHF]XD@=2>2?a8GJHSZFF;0<=1c:ALJQTHD96:83m4CNLWVJJ748?5o6M@NUPLH5:6>7i0OB@[RNN38419k2IDBY\@L1>2<;e<KFD_^BB?<0;=f>EHF]XD@=2>>b9@KKRUGE:7>=0l;BMMPWIK858:2n5LOOVQKI6;:;4h7NAATSMO49446j1HCCZ]OM2?618d3JEEX_AC0=06:f=DGG^YCA>327<`?FII\[EG<1<8>b9@KKRUGE:7>50l;BMMPWIK85822o5LOOVQKI6;:7i0OB@[RNN38669k2IDBY\@L1>05;e<KFD_^BB?<20=g>EHF]XD@=2<3?a8GJHSZFF;0>:1e:ALJQTHD96897>1c:ALJQTHD96893l4CNLWVJJ74:4i7NAATSMO4929j2IDBY\@L1>6:g=DGG^YCA>36?`8GJHSZFF;0:0m;BMMPWIK8525n6M@NUPLH5:>68>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JF4:FQGN2<M=::?6HKC59E@FC43ONN?6HKR59E@WC63N90KCJ>;H08M54<A880E?<4I208M14<A<30ECG[SUCWA6=NF_k0FYOH_BJBMK?<B]KLSYK\T39OM7=KG?1GCNEJD69OKBODIE>0@XZ>4:NVP72<D\^?86BZT758IP^DQVF>7@[WF478IP^A>;1E<:5AEUULVN0<FFIGE]94NNOFVBC63F;<7B^[ILKYAZVUADC_E[K\_OE@5>V03Y$?5iQC4:RBVQg<X@DTNX]FDY`8TLHXJ\YEM@K6;QI\LISSFD<0\^J@ND38U4=U=2XN_HZ8;SWMP@US92Y87^KC5:QKMCR692YC^HIPEYVQEFRXFNIn7^F]EF]NMKYTASO=7^AZRBG5?VRF\\Y87YMD3:VGM6=SLF90X@];;U[SA6b<]9%^SDM@N.K\]@UXJZIJB#[PBR-J[V0)AZSE"DQZSD]PKCRE[JKESH_?.T]AW32<]9%^SDM@N.K\]@UXJZIJB#[PBR-J[PUBWZEMXO]LAO]FU5(NW\YNSU][_UAH[RIUCHXDYCJPEP3-QZODGGUI_NOA_CWEC*SXJ\LL>;5Z0.W\MFII'@URI^QMSBCM*PYE[&cO^NEPLNABH7d<]9%^SDM@N.W\GHB(OV^J^JK[[02^*LYIM9$^SYO]GDV1f>S7'\UBOB@ U^AN@*AX\HXLIYU>1\,J[KC7&\U_M_IJT3`8Q5)RW@IDB"[PCLF,CZRFZNO_W<<R.H]MA5(RW]KYKHZ=b:W3+PYNKFD$YRMBD.E\PDT@M]Q:?P F_OG3*PYSI[MNX?l4U1-V[LEHF&_TO@J G^VBVBCSS8>V"DQAE1,V[QGUOL^9n6[?/T]JGJH(]VIFH"IPT@PDAQ]6=T$BSH_?.T]WEWAB\;k0Y=!Z_HALJ*SXKDN$KRZNRFGW_3[)AVDN<#[PT@PDAQ4f3\:$YRGLOO-V[FKC'NU_M_IJTZ5^*LYIM9$^SYO]GDV1e>S7'\UBOB@ U^AN@*AX\HXLIYU7]/K\J@6)]V^J^JK[2`9V4*SXAJEE#XQLME-D[QGUOL^P5P F_OG3*PYSI[MNX?m4U1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX4X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY24X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY25X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY26X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY27X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY20X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY21X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY2Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ0^*PYK]]8h7X> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[2_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@MLCM@ZUBD&C?"KGT4\,V[ISS:j1^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU:]/W\HPR5k2_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV8R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW:S!U^NVP7e<]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP4P Z_MWW6f=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q2Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFXNSDJPSDN,M1(AAR:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8;V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS88V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS89V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8>V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8?V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHZLUBHR]JL.K7*CO\:T$^SA[[2b9V4*SXAJEE#XQLME-V[FIUMVCOS^KC/H6-BL]4U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJTBW@NT_HB I5,EM^2Z&\UGYY<l;T2,QZODGG%^SNCK/T]@KWCXAMUXIA!F4/DJ_0[)]VF^X?m4U1-V[LEHF&_TO@J U^ALV@YNLVYN@"G;.GKX2X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY4Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNPF[LBX[LF$E9 IIZ:^*PYK]]8h7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[8_-QZJR\8=0Y=!Z_HALJ*SXKDN$YR]JL^l2f>S7'\UBOB@ U^AN@*SX\HXLIYQLE048Q5)RW@IDB"[PCLF,mVCKWg;i7X> U^K@KK)RWJGO#dZNRFGW84699k1^<"[PIBMM+PYDEM%bXL\HEU>25;7f3\:$YRGLOO-V[FKC'`^J^JK[<9<2e>S7'\UBOB@ U^AN@*oSI[MNX1711`9V4*SXAJEE#XQLME-jPDT@M]UHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX4X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY3Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ3^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[0_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT2\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU=]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV=R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW>S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP8P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ?Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR?V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS<W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\>T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]1U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^1Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_2[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX<X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY;Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ;^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[8_-QZJDM8o0Y=!Z_HALJ*SXK]XGSB[[/T]@IAAUX9;n7X> U^K@KK)RWJ^Y@RAZT.W\GHB@ZY;9=6[?/T]JGJH(]VI_^AQ@UU-V[AGSIV\J@DJ=8:W3+PYNKFD$YRM[RM]LQQ)nKFGFMCJPGSR\W@J;87837X> U^K@KK)RWJ^Y@RAZT.k@KHKFFMUL^]Q\EM>2:76<]9%^SDM@N.W\GQTKWF__#dJNT@]UEIOC:81^<"[PIBMM+PYT\H^$JR][AUY3Y+SX[]K_><5Z0.W\MFII'\UXXLZ F^QWEQ]6U'_T_YO[209V4*SXAJEE#XQ\T@V,BZUSI]Q9Q#[PSUCW64=R8&_TENAA/T]PPDR(NVY_MYU<]/W\WQGS9h1^<"[PIBMM+PYT\H^$YRMBDFPS44b<]9%^SDM@N.W\WQGS'\UOMYOPV@NJ@4g<]9%^SDM@N.W\WQGS'\UXXLZLME3`?P6(]VCHCC!Z_RVBP*SX[]K_O@JPn338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>2:77<]9%^SDM@N.W\WQGS'\UXXLZPCOV,GL:56;;0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(K@682??4U1-V[LEHF&_T_YO[/T]PPDRXKG^$OD2;>338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>6:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,@969:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)C4849<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&N7>3<?;T2,QZODGG%^S^ZNT.W\WQGSWJD_#I2<>328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ D=6=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-G8085k2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\8T$LbE Z_LW[G\403\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]7U'_TJI\J279V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[1_-QZJR\;20Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR:V"XQWOSAZ6f=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_4[)OgB%YRCZXB[13>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX5X(RWONYI?84U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV?R.T]OQQ4?3\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]6U'_TTB\LY3a8Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ0^*BhO&\UFYUMV269V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[3_-QZ@CZL8=7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ9Q#[PLTV1<>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX6X(RWQEYOT<l;T2,QZODGG%^S^ZNT.W\WQGSWJD_#JU<]/EmL+SXE\RHU?94U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV=R.T]E@WC5>2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\;T$^SA[[299V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[2_-QZ^HZJS9o6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP8P HnI,V[HS_KP8<7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ?Q#[PFEPF63=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_1[)]VF^X?64U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV:R.T][KWE^:>1^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S<W%YRHKRD05?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/FY6Y+SXD\^946[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP9P Z_YMQG\473\:$YRGLOO-V[VRF\&_T_YO[_BLW+V:76;:0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR([5;5>=5Z0.W\MFII'\UXXLZ U^QWEQYDF]%X0?0=0:W3+PYNKFD$YR][AU-V[VRF\VIEX"]33?03?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/R>7:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,W9399j1^<"[PIBMM+PYT\H^$YR][AU]KMBN6j2_;#XQFCNL,QZUSI]%^S^ZNT^NLS40<]9%^SDM@N.W\WQGS'\U_HB?m;T2,QZODGG%^S^ZNT.W\PAIXflr=h5Z0.W\MFII'\UXXLZ iBOG[BTW8VYN@<m4U1-V[LEHF&_T_YO[/hFBPDYQIECO=45Z0.W\MFII'\UXXLZ iRVBP969901^<"[PIBMM+PYT\H^$e^ZNT=3=5<=R8&_TENAA/T]PPDR(aZ^JX1<1189V4*SXAJEE#XQ\T@V,mVRF\595=n5Z0.W\MFII'\UXXLZ iRVBPFKCWJO:i6[?/T]JGJH(]VY_MY!fSUCWGHBXKLUe=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5:5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5;5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\585=i5Z0.W\MFII'\UXXLZ iRVBPZEI\595=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5>5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5?5=o5Z0.W\MFII'\UXXLZ iRVBPZNNOA;j7X> U^K@KK)RWZ^JX"g\T@V\HJQ6i2_;#XQFCNL,QZUSI]%bXIAPndzw6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX4X(NWGO;"XQIDS0a?P6(]VCHCC!Z_R[MG*AX[PDHSZA]DZ3^*LYIM9$^SKJ]2c9V4*SXAJEE#XQ\YOA,CZU^FJU\C_JT2\,J[KC7&\UMH_<m;T2,QZODGG%^S^WAC.E\W\HDW^EYHV=R.H]MA5(RWONY>o5Z0.W\MFII'\UXUCM G^QZJFYPG[NP8P F_OG3*PYAL[8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR?V"DQAE1,V[CBU:k1^<"[PIBMM+PYTQGI$KR]VNB]TKWB\>T$BSH_?.T]E@W7e3\:$YRGLOO-V[V_IK&_TKBZPSXL@5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]K5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]O52=R8&_TENAA/T]P]KE(]VYRBN?j;T2,QZODGG%^S^WAC.W\mAGSIVIFHR`>c:W3+PYNKFD$YR]VNB-j@DRFWJGOSc?n;T2,QZODGG%^S^WAC.kDKQYTQGI:j6[?/T]JGJH(]VYRBN!fGNV\W\HDWACLD<k4U1-V[LEHF&_T_T@L/hELPZU^FJUGCZ?j;T2,QZODGG%^S^WAC.kP]KEX_FXO0=0>e:W3+PYNKFD$YR]VNB-jW\HDW^EYH1?11d9V4*SXAJEE#XQ\YOA,mV_IKV]D^I2=>0g8Q5)RW@IDB"[PSXL@+lU^FJU\C_J33?3f?P6(]VCHCC!Z_R[MG*oTQGIT[B\K<5<2a>S7'\UBOB@ U^QZJF)n[PDHSZA]D=7=5`=R8&_TENAA/T]P]KE(aZSEORY@RE>5:45<]9%^SDM@N.W\PAOXzlm8<6[?/T]JGJH(]V^OCRCZX.W\GVYJ]Q%B8#[PMTZ22*Kj}qUD=<?<;T2,QZODGG%^SYJ@_sgd50=R8&_TENAA/T]j@DRFWJGO=:5Z0.W\MFII'\UbHLZN_BOG[k753\:$YRGLOO-V[lUBDVd:56[?/T]JGJH(aJEFAL@K_FPS858612_;#XQFCNL,mFIJEHDOSJ\_<0<2=>S7'\UBOB@ iBMNIDHCWZOG0=0>a:W3+PYNKFD$eNABM@LG[VCK48:5=l5Z0.W\MFII'`IDA@OAD^QFH97668k0Y=!Z_HALJ*oDGDGJBIQ\EM>26;7f3\:$YRGLOO-jGJKJIGNT_HB312<2e>S7'\UBOB@ iBMNIDHCWZOG0<:11`9V4*SXAJEE#dM@MLCM@ZUBD5;>2<74U1-V[LEHF&cHC@CNNE]PAI:66830Y=!Z_HALJ*oDGDGJBIQ\EM>1:4?<]9%^SDM@N.k@KHKFFMUXIA2<>0;8Q5)RW@IDB"gLOLOBJAYTME6?2<74U1-V[LEHF&cHC@CNNE]PAI:26830Y=!Z_HALJ*oDGDGJBIQ\EM>5:4?<]9%^SDM@N.k@KHKFFMUXIA28>0;8Q5)RW@IDB"gLOLOBJAYTME632<74U1-V[LEHF&cHC@CNNE]PAI:>68?0Y=!Z_HALJ*oDG[OTEI2?>078Q5)RW@IDB"gLOSG\MA:668?0Y=!Z_HALJ*oDG[OTEI2=>078Q5)RW@IDB"gLOSG\MA:46830Y=!Z_HALJ*oDG[OTEIQ\EM>3:4g<]9%^SDM@N.k@KWCXAMUXIA2>5?30?P6(]VCHCC!fD@VB[FKC9<1^<"[PIBMM+lBF\HUHAIQaf:W3+PYNKFD$eI\LKd9V4*SXAJEE#d]JL028Q5)RW@IDB"g\EM]mb>S7'\UBOB@ iR[MG`=R8&_TENAA/hVGM`=R8&_TENAA/hVGK40<]9%^SDM@N.kW@JYQMJ6:93?<;T2,QZODGG%bXIAPndzw`>S7'`YCEKZPOTVf?P6(a\ZOMYKPOTV5?PVCI]Oh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90Uh}<b:]\[]JIEVUT<RQPU1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX51[)]VF^X>m4_^][HKKXWV;;SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY26X(RWEIN?n5P_^ZOJHYXW8;TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO8o6QP_YNMIZYX9;UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[06^*PYKKL9h7RQPXMLN[ZY6;VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT14_-QZJDM:h0SRQWLOO\[Z73WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU>]/W\HFC4j2UTSUBAM^]\50YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW?S!U^N@A6d<WVUS@CCP_^35[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ8Q#[PLBG0f>YXWQFEARQP16]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS=W%YRBLE2`8[ZY_DGGTSR?7_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]2U'_T@NK<b:]\[]JIEVUT=4QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_3[)]VFHI>l4_^][HKKXWV;TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ31Y+SXD\^8n6QP_YNMIZYX:9UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[6_-QZJDM:h0SRQWLOO\[Z46WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU7]/W\HFC4j2UTSUBAM^]\67YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW4S!U^N@A7b<WVUS@CCP_^00[ZYR8&_TENAA/T]@PWJXG\^$YRMBDFPS466<WVUS@CCP_^07[ZYR8&_TENAA/T]@PWJXG\^$YRJNT@]UEIOC:h1TSRVCNL]\[73XWV_;#XQFCNL,QZU^FJ%^SJA[_R[MG6d<WVUS@CCP_^0\[ZS7'\UBOB@ U^AN@*SXKFGFMCJPSDN,M1(AAR;;Q#[PLTV0e>YXWQFEARQP3^]\Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\0T$^SA[[3`9\[Z^KFDUTS9QP_T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_3[)]VF^X>o4_^][HKKXWV?TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ6^*PYK]]9j7RQPXMLN[ZY1WVU^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU=]/W\HPR4i2UTSUBAM^]\3ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP<P Z_MAF7g=XWVRGB@QP_9]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS8:V"XQCCD1a?ZYXPEDFSRQ6_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]69T$^SAMJd:cp}keXllidhh|6;`qzjfYj}qo0oegsbmsaZodgg997nffpralt`YnkfdTz<Q?6^c/$]okagr+OB\J Fgmawgsg{*:8#:8;bmvjqcu>2ixS`{w8:ap[hs9?k0enaa_cq`ek`<ajeeSo}lao]aqcaa3`idbRmbd^ffgjbb12chccQlosgg?lehfVi~aQ`uu`8mfiiWz~jxx}6;haljZu~fj20eaoPibmm6<=ndhUbob`#cikswfiwmVchccQy1^25[d*IGGO'BB@J3768migXajee nffpralt`YnkfdTz<Q?6^c/fYr{lUeizQasqfv86+kVrhcRbfsu?MqhdbzVIdby|`l^Rlvqu:92_xiRKwt^@pgdh:nhfxi6[|es]PgdhXKakbb0[\ES38AkgedlUAxliPBtdd9qtrm2_xiR[aurgg[Gudig7mma}j;Tqf[Via|kyhmc3iamqf(fYll|bohhnmhnz9vvfz}ke:!mPcnpf[qwm4;'oRcnjnp\r`ttafd6=9"l_lkmkwYqm{ybcc3;,b]aqljcW{o}e~g`n<2/gZehzlUfmga}_wgq94*dWjeyiRcfnnp\r`t::%iTob|j_lkmkwYfdybjS{k}=85/gZkaoVco1<"l_tqf[gsaz4:'oR{|e^qji86+kVxiR}`fu`pgdh:8%iT|l|{_hf`kacXzlmbyk}=1.`[pubWc~jkRlzff?2(fYi}dUhccz}om]skwrt58&hSx}j_bmmpwik84;'oR{|e^aljqthd87; nQzsd]`kkruge86<!mPurg\gjhszff81="l_tqf[fii|{eg80>#c^wpaZehf}xd`83?,b]vw`Ydgg~yca820-a\qvcXkfd~bb8=1.`[pubWjeexac8<2/gZstmVidby|`l8?3(fYr{lUhccz}om3395*dW|ynSnaatsmo54;7$jU~hQloovqki7559&hSx}j_bmmpwik9:7; nQzsd]`kkruge;?1="l_tqf[`~sWkyhmc3?,b]vw`Yrf|ynhRl|c`l>4)eX}zoTt~zPtbi\sjtli{e~bi3>,b]f|dkwdlUoi~ghn<dbhvc+kVidb~z}ahlw[qwm4osxh|aam.`[pubzVyhmcQlh`km94*z:2dd=?5wc7qo|13s%oml85:"3|BCt16>3IJs=o=5F;095~U2k3;3576::010153?2;:=?>ua19595>h6010=7)?76;3;4>{T;<0:44475;307062038;:8m4d0`0>5<628qX9n4>888;1?74;<:>47<?6218rQ?3290:6<48fzQ6g?7?132>6<=<517;>761;:1/=:h5779'<3<6j>1i=o=50;07>=>=?oqC=:j4$8c95g5<R8n1=v?::|&2<0<6i11/><4>b59'1g<6j<1/5>4?;%;1>4>f3"??6=4+89866>h??38;76%:3;29 =>==;1e4:4?;:)65?6=,1219?5a8682?>-283:1(565539m<2<532!?j7>5$9:917=i0>0876%;e;29 =>==;1e4:4;;:)7`?6=,1219?5a8686?>-3j3:1(565539m<2<132!?m7>5$9:917=i0>0<76%;9;29 =>==;1e4:47;:)7<?6=,1219?5a868:?>-3?3:1(565539m<2<f32!?:7>5$9:917=i0>0i76%;5;29 =>==;1e4:4l;:)70?6=,1219?5a868g?>-3;3:1(565539m<2<b32!?>7>5$9:917=i0>0m76%;0;29 =>==;1e4:4>0:9(7c<72-2368<4n95954=<#:o1<7*78;71?k>028807&=k:18'<=<2:2d3;7?<;:)0g?6=,1219?5a86820>=,;k0;6)67:408j=1=9<10'>o50;&;<?353g2<6<84;*1:>5<#010>>6`77;34?>-403:1(565539m<2<6021 ?:4?:%:;>04<f1=1=454+4c94?"?03?97c68:0c8?.3>290/454:2:l;3?7e32!>47>5$9:917=i0>0:o65$5683>!>?2<80b5951e98/00=83.347;=;o:4>4c<3"?>6=4+89866>h??3;m76%;c;29 =>==;1e4:4=1:9(04<72-2368<4n95967=<#:<1<7*78;71?k>02;907o?74;295?6=8r.2m7?8e:J2<7=O9>n0c5:50;9~f4>4290:6=4?{%;b>31<@8297E?8d:m52?6=3th2o7>52;294~">i3;h7E?72:J23a=#0:0:n;5`8383>>o103:17pl6b;29f?6=8r.2m7?m;I3;6>N6?m1e;<4>;o51>5=h180;66a67;29?j>b2900c4850;9l52e=831d4i4?::m:4?6=3`336=44o0d94?=h100;66a:f;29?xd6=?0;6?4?:1y'=d<082B:4?5G16f8 =5=9k<0e;650;9l<7<722wi=8950;094?6|,0k1;=5G1908L41c3-286<l9;h4;>5<<g181<75rb077>5<3290;w)7n:718L4>53A;<h6*73;3a2>"1n3;0e<k50;9j65<722c>h7>5;n:a>5<<uk;>>7>54;294~">i3<87E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722e3n7>5;|`210<72=0;6=u+9`855>N60;1C=:j4$9195g0<,?l1=6g>e;29?l532900e8j50;9l<g<722wio54?:383>5}#1h0<<6F>839K52b<,191=o84i7:94?=h0;0;66smc883>6<729q/5l488:J2<7=O9>n0(5=51c48 3`=9?1/444>9b9j2<<722c=m7>5;n:1>5<<ukk?6=4::183!?f2?80D<6=;I34`>"?;3;i:6*9f;38m4c=831b>=4?::k00?6=3`?o6=44o9`94?=zjh?1<7;50;2x <g=>;1C=5<4H05g?!>428h=7)8i:09j5`<722c9<7>5;h17>5<<a<n1<75`8c83>>{ei?0;684?:1y'=d<1:2B:4?5G16f8 =5=9k<0(;h51:k2a?6=3`8;6=44i2694?=n=m0;66a7b;29?xdf?3:197>50z&:e?053A;3>6F>7e9'<6<6j?1/:k4>;h3f>5<<a;:1<75f3583>>o2l3:17b6m:188yg?b290>6=4?{%;b>34<@8297E?8d:&;7?7e>2.=j7?4i0g94?=n:90;66g<4;29?l3c2900c5l50;9~f<`=83?1<7>t$8c927=O9180D<9k;%:0>4d13-<m6<5f1d83>>o583:17d=;:188m0b=831d4o4?::ae5<72<0;6=u+9`856>N60;1C=:j4$9195g0<,?l1=6g>e;29?l472900e>:50;9j1a<722e3n7>5;|`b5?6==3:1<v*6a;41?M7?:2B:;i5+8282f3=#>o0:7d?j:188m76=831b?94?::k6`?6=3f2i6=44}cc1>5<2290;w)7n:708L4>53A;<h6*73;3a2>"1n3;0e<k50;9j65<722c887>5;h7g>5<<g1h1<75rb`194?3=83:p(4o5639K5=4<@8=o7)6<:0`5?!0a281b=h4?::k14?6=3`9?6=44i4f94?=h0k0;66smad83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>8c9j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{eim0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5169'<<<60k1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smab83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=9:1/444>979j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{eik0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5119'<<<61?1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66sma`83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=m2.357?6d:k5=?6=3`<j6=44i7`94?=n>j0;66a72;29?xdf13:197>50z&:e?1>3A;3>6F>7e9'<6<6j?1/:k4k;%::>4?c3`<26=44i7c94?=n>k0;66g9c;29?j>52900qol8:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?e<,131=4k4i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fg0=83?1<7>t$8c93<=O9180D<9k;%:0>4d13-<m6l5+8882=`=n>00;66g9a;29?l0e2900e;m50;9l<7<722win84?:483>5}#1h0<56F>839K52b<,191=o84$7d9=>"?13;2j6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`a0?6==3:1<v*6a;5:?M7?:2B:;i5+8282f3=#>o037)66:0;e?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<<ukh86=4::183!?f2>30D<6=;I34`>"?;3;i:6*9f;58 =?=9h:0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbc094?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2?1/444>a19j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{ej80;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h55:&;=?7f92c=57>5;h4b>5<<a?h1<75f6b83>>i?:3:17plm0;291?6=8r.2m796;I3;6>N6?m1/4>4>b79'2c<33-226<o>;h4:>5<<a?k1<75f6c83>>o1k3:17b6=:188ygga290>6=4?{%;b>2?<@8297E?8d:&;7?7e>2.=j7=4i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fd>=83?1<7>t$8c93<=O9180D<9k;%:0>4d13-<m6?5f6883>>o1i3:17d8m:188m3e=831d4?4?::af`<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l1=45f6883>>o1i3:17d8m:188m3e=831d4?4?::afa<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l1=:5f6883>>o1i3:17d8m:188m3e=831d4?4?::aff<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l1=>5f6883>>o1i3:17d8m:188m3e=831d4?4?::afg<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l1==5f6883>>o1i3:17d8m:188m3e=831d4?4?::afd<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l1i6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`a=?6==3:1<v*6a;5:?M7?:2B:;i5+8282f3=#>o0o7d86:188m3g=831b:o4?::k5g?6=3f296=44}ca4>5<2290;w)7n:6;8L4>53A;<h6*73;3a2>"1n3i0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbb494?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2h1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smc483>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=12c=57>5;h4b>5<<a?h1<75f6b83>>i?:3:17pll4;291?6=8r.2m796;I3;6>N6?m1/4>4>b79'2c<?3`<26=44i7c94?=n>k0;66g9c;29?j>52900qom<:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?1<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnn<50;794?6|,0k1;45G1908L41c3-286<l9;%4e>3=n>00;66g9a;29?l0e2900e;m50;9l<7<722wio<4?:483>5}#1h0<56F>839K52b<,191=o84$7d91>o113:17d8n:188m3d=831b:n4?::m;6?6=3thh<7>55;294~">i3=27E?72:J23a=#0:0:n;5+6g87?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<<ukhm6=4::183!?f2>30D<6=;I34`>"?;3;i:6*9f;18m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjk21<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:39j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{el90;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6i;1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smd083>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>a39j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{ell0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6191b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smdg83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>919j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{em90;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6181b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66sme083>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>909j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{em;0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<61;1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66sme283>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>939j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{em=0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<61:1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66sme483>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>929j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{em?0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<61=1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66sme683>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>959j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{em10;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<61<1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66sme883>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>949j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{emh0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<61>1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smec83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>969j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{emj0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6111b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smee83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>999j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{eml0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6101b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smeg83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>989j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{el;0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6i:1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smd283>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>a29j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{el=0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<6i=1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smd483>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>a59j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{el?0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<60j1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smd683>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>8b9j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{el10;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<60m1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smd883>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>8e9j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{elh0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<60l1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smdc83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>8d9j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{elj0;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5189'<<<60o1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smde83>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=901/444>8g9j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{en80;6>4?:1y'=d<002B:4?5G16f8 =5=9k<0(;h5139'<<<61h1b:44?::k5e?6=3f296=44}cd3>5<4290;w)7n:6:8L4>53A;<h6*73;3a2>"1n3l0(57518c8m3?=831b:l4?::m;6?6=3thm>7>53;294~">i3=37E?72:J23a=#0:0:n;5+6g82<>"?13;2n6g99;29?l0f2900c5<50;9~f44>290?6=4?{%;b>2b<@8297E?8d:&;7?7e>2c=57>5;h4b>5<<a1l1<75`8383>>{e9;=1<7:50;2x <g=?j1C=5<4H05g?!>428h=7d86:188m3g=831b4k4?::m;6?6=3th:>;4?:583>5}#1h0<o6F>839K52b<,191=o84i7;94?=n>h0;66g7f;29?j>52900qoh9:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?d<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnk950;794?6|,0k1;45G1908L41c3-286<l9;%4e>36<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnk650;794?6|,0k1;45G1908L41c3-286<l9;%4e>42<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnk750;794?6|,0k1;45G1908L41c3-286<l9;%4e>47<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnkj50;094?6|,0k1;=5G1908L41c3-286<l9;h4;>5<<g181<75rbgc94?5=83:p(4o5799K5=4<@8=o7)6<:0`5?!0a2o1b:44?::k5e?6=3f296=44}cd`>5<2290;w)7n:6;8L4>53A;<h6*73;3a2>"1n3;27d86:188m3g=831b:o4?::k5g?6=3f296=44}cda>5<4290;w)7n:6:8L4>53A;<h6*73;3a2>"1n3;37)66:0;a?l0>2900e;o50;9l<7<722wi=?650;694?6|,0k1:>5G1908L41c3-286<l9;%4e>4=n9l0;66g=0;29?l3c2900c5l50;9~f46d29086=4?{%;b>4>63A;3>6F>7e9'<6<6j?1b>?4?::k47?6=3f296=44}c33=?6=<3:1<v*6a;5a?M7?:2B:;i5+8282f3=n:;0;66g:e;29?l>a2900c::50;9~f47729086=4?{%;b>4>63A;3>6F>7e9'<6<6j?1b>?4?::k47?6=3f296=44}c33`?6=<3:1<v*6a;5a?M7?:2B:;i5+8282f3=n:;0;66g:e;29?l>a2900c::50;9~f47329086=4?{%;b>4>63A;3>6F>7e9'<6<6j?1b>?4?::k47?6=3f296=44}c325?6=<3:1<v*6a;5a?M7?:2B:;i5+8282f3=n:;0;66g:e;29?l>a2900c::50;9~f47?29086=4?{%;b>4>63A;3>6F>7e9'<6<6j?1b>?4?::k47?6=3f296=44}c321?6=<3:1<v*6a;5a?M7?:2B:;i5+8282f3=n:;0;66g:e;29?l>a2900c::50;9~f47d29086=4?{%;b>4>63A;3>6F>7e9'<6<6j?1b>?4?::k47?6=3f296=44}c32=?6=<3:1<v*6a;5a?M7?:2B:;i5+8282f3=n:;0;66g:e;29?l>a2900c::50;9~f47a29086=4?{%;b>4>63A;3>6F>7e9'<6<6j?1b>?4?::k47?6=3f296=44}c33f?6=:3:1<v*6a;54?M7?:2B:;i5+8282f3=#>o0:>6g99;29?j>52900qo??f;296?6=8r.2m798;I3;6>N6?m1/4>4>b79'2c<6:2c=57>5;n:1>5<<uk;:?7>52;294~">i3=<7E?72:J23a=#0:0:n;5+6g826>o113:17b6=:188yg76?3:1>7>50z&:e?103A;3>6F>7e9'<6<6j?1/:k4>2:k5=?6=3f296=44}c32f?6=:3:1<v*6a;54?M7?:2B:;i5+8282f3=#>o0:>6g99;29?j>52900qo?>e;296?6=8r.2m798;I3;6>N6?m1/4>4>b79'2c<6:2c=57>5;n:1>5<<uk;;m7>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;;i7>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;:>7>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;::7>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;:m7>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;:h7>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;?87>55;294~">i3=27E?72:J23a=#0:0:n;5+6g8`?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<<uk;?>7>53;294~">i3=37E?72:J23a=#0:0:n;5+6g82<>"?13;2o6g99;29?l0f2900c5<50;9~f42129096=4?{%;b>26<@8297E?8d:&;7?7e>2c=47>5;n:1>5<<uk;??7>55;294~">i3=27E?72:J23a=#0:0:n;5+6g8g?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<<uk;?97>55;294~">i3<>7E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j1a<722c3o7>5;n:a>5<<uk;8n7>54;294~">i3<?7E?72:J23a=#0:0:n;5+6g82?l7b2900e8j50;9j<f<722e3n7>5;|`27f<72=0;6=u+9`850>N60;1C=:j4$9195g0<,?l1=6g>e;29?l3c2900e5m50;9l<g<722wi=>j50;694?6|,0k1:95G1908L41c3-286<l9;%4e>4=n9l0;66g:d;29?l>d2900c5l50;9~f45b290?6=4?{%;b>32<@8297E?8d:&;7?7e>2.=j7?4i0g94?=n=m0;66g7c;29?j>e2900qo?<f;290?6=8r.2m78;;I3;6>N6?m1/4>4>b79'2c<63`;n6=44i4f94?=n0j0;66a7b;29?xd6<90;694?:1y'=d<1<2B:4?5G16f8 =5=9k<0(;h51:k2a?6=3`?o6=44i9a94?=h0k0;66sm15394?2=83:p(4o5659K5=4<@8=o7)6<:0`5?!0a281b=h4?::k6`?6=3`2h6=44o9`94?=zj8?86=48:183!?f2>k0D<6=;I34`>"1n3;j7d86:188m3g=831b:o4?::k5g?6=3`<o6=44i7g94?=h0;0;66s|5583>7}Y==16=8=56e9~w05=838pR8=4=ea9<7=z{<;1<7<t^4389ag=0;1v8>50;0xZ06<5m214?5rs5d94?4|V=l01i85839~w1c=838pR9k4=e69<7=z{=n1<7<t^5f89a4=0;1v9l50;0xZ1d<5lo14?5rs5c94?4|V=k01hm5839~w1?=838pR974=dc9<7=z{=21<7<t^5:89`>=0;1v9950;0xZ11<5l<14?5rs5494?4|V=<01h:5839~w13=838pR9;4=d09<7=z{=>1<7<t^5689`6=0;1v9=50;0xZ15<5mo14?5rs5094?4|V=801i>5839~w16=838pR9>4=ef9<7=z{:l1<7<t^2d89ad=0;1v>k50;0xZ6c<5m314?5rs2f94?4|V:n01i95839~w6e=838pR>m4=e79<7=z{:h1<7<t^2`89a5=0;1v>o50;0xZ6g<5ll14?5rs2;94?4|V:301hj5839~w6>=838pR>64=d`9<7=z{:=1<7<t^2589`?=0;1v8o50;0xZ0g<5l=14?5rs4;94?4|V<301h;5839~w0>=838pR864=d19<7=z{<=1<7<t^4589`7=0;1v8850;0xZ00<5ml14?5rs4794?4|V<?01i?5839~w17=83?pR9?4=071>=d<5h919i5215391a=:9=91:n5rs051>5<6lrT8:636c;:1?872:3;n70?:4;3f?8g428o01l<51d9>e4<6m27j<7?j;<;e>4c<50o1=h52a682a>;f>3;n70o::0g89d2=9l16=?651d9>54b=9l16=<o51d9>540=9l16=<<51d9>55c=9l16==o51d9>517=9l16=9>51d9>56`=9l16=>k51d9>56b=9l16=>m51d9>56d=9l16=9;51d9~w2c=83np1<6;:96894352;:01<;;:328944?2;:01<?k:328947f2;:01<?9:32894752;:01<>j:328946f2;:01<>6:308946d2;801<:::4f8yv2d290<w0?73;45?[2d34;:57;j;<321?3b34;:=7;j;<33`?3b34;;57;j;|q23g<72;q6=8;51d9>=g<6?j1v<9n:1878e>2?k01k<56`9>bg<1i272n77>;|q:`?6=:r72n7;i;<;`>3><uz;<=7>52z?210<2l27:9;472:p522=838p1<;::26894302180q~?85;297~;a:3<270hm:7;8942221h0q~?87;296~;6==03n636b;;;?xu6?10;6?u213:9<g=:9<91:h5rs07b>5<3s4k365<4=e392d=:l90=m63ic;4b?xu6>80;6>u2ag8;6>;cn3<j70jj:7c8yv71:3:1?v3m0;:1?8c62?k01h>56`9~w4042908w0l>:9089`5=>h16i?49a:p532=839p1o<5839>a0<1i27n878n;|q220<72:q6n>472:?f3?0f34o=6;o4}r352?6=;r7i876=;<g:>3g<5l21:l5rs044>5<4s4h>65<4=d`92d=:mh0=m6s|17:94?5|5k<14?52ee85e>;bk3<j7p}>6883>6}:j>03>63jf;4b?8cb2?k0q~?:b;297~;f132970j<:7c89a4=>h1v<;l:1808gf21801i;56`9>`1<1i2wx=8j50;1x9dd=0;16h:49a:?g2?0f3ty:9h4?:2y>ef<?:27o578n;<f;>3g<uz;>j7>53z?b`?>534ni6;o4=ec92d=z{8<;6=4<{<cf>=4<5mn1:l52db85e>{t9?o1<7<>{<`;>=4<5mn1:o52db85f>;cj3<i70jn:7`89a?=>k16h549b:?g3?0e34n=6;l4=e792g=:l=0=n63k3;4a?8b52?h01hh56c9>a`<1j27nh78m;<g`>3d<5lh1:o52e`85f>;b13<i70k7:7`89`1=>k16i;49b:?f1?0e34o?6;l4=d192g=:m;0=n63j1;4a?8c72?h01ih56c9>``<1j27o=78m;<f3>3d<uz;=j7>52z?aa?>534lh6;l4}r35e?6=9:q6m=47b:?:b?3c34h36;74=cd92<=:k90=563l1;4:?8e52?301n=5689>g1<1127h9786;<a5>3?<5j=1:452b885=>;ei3<270lm:7;89ge=>016ni499:?aa?0>34;>?78l;|q22g<7289p1l?58c9>e5<2l27i478n;<`e>3g<5j:1:l52c085e>;d:3<j70m<:7c89f2=>h16o849a:?`2?0f34i<6;o4=c;92d=:jh0=m63mb;4b?8dd2?k01oj56`9>f`<1i27:9>49b:p53e=83;8w0o=:9`89d7==m16n549b:?ab?0e34i;6;l4=b392g=:k;0=n63l3;4a?8e32?h01n;56c9>g3<1j27h;78m;<`:>3d<5kk1:o52bc85f>;ek3<i70lk:7`89gc=>k16=8=56`9~w40c290:?v3n3;:a?8g52<n01o656b9>fc<1k27h<78l;<a2>3e<5j81:n52c285g>;d<3<h70m::7a89f0=>j16o:49c:?a=?0d34hj6;m4=c`92f=:jj0=o63md;4`?8db2?i01<;<:7;8yv7203:18v3n4;:a?8`72?301k?5689>bd<112wx=8750;7x9d3=0k16m94:d:?e4?0f34l:6;o4=gc92d=z{8=;6=4;{<361?>e34;>:787;<a:>3?<58>=6;64}r34=?6=:r7:994:d:?216<?:2wx=:=50;1x94302?2014l5919>g=<102wx=:850;0x94352<n014l5969~wfg=83hp1l=5359>e7<4<27j=7=;;<c3>62<50l1?9529d800>;f?39?70o9:2689d3=;=16m94<4:?`<?>53tyhj7>5bz?b7?4734k96?>4=`3965=:i909<636f;03?8?b2;:01l95219>e3<5827j97<?;<c7>76<5j314?5rsbf94?75s4k=65l4=`791a=:i10=563nf;4:?8d72?301o?5689>f7<1127i?786;<`7>3?<5k?1:452b785=>;e?3<270o6:7;89dg=>016mo499:?bg?0>34ko6;74=`g92<=z{jo1<7?={<c4>=d<5h<19i52a985e>;fn3<j70l?:7c89g7=>h16n?49a:?a7?0f34h?6;o4=c792d=:j?0=m63m7;4b?8g>2?k01lo56`9>eg<1i27jo78n;<cg>3g<5ho1:l5rsb`94?75s43n65l4=`591a=:i10=n63nf;4a?8d72?h01o?56c9>f7<1j27i?78m;<`7>3d<5k?1:o52b785f>;e?3<i70o6:7`89dg=>k16mo49b:?bg?0e34ko6;l4=`g92g=z{ji1<7?={<;e>=d<50o19i52a985g>;fn3<h70l?:7a89g7=>j16n?49c:?a7?0d34h?6;m4=c792f=:j?0=o63m7;4`?8g>2?i01lo56b9>eg<1k27jo78l;<cg>3e<5ho1:n5rsg694?76s4l:65<4=ea92f=:lh0=o63k8;4`?8b12?i01i:56b9>`7<1k27ni78l;<g`>3e<5lk1:n52e985g>;b>3<h70k;:7a89`4=>j16i=49c:?ga?0d34n;6;m4}rd0>5<69r7m<76=;<fg>3e<5mh1:n52d885g>;c?3<h70j::7a89a5=>j16ik49c:?f`?0d34oi6;m4=d;92f=:m>0=o63j5;4`?8c42?i01h?56b9>`c<1k27o=78l;|qe1?6=:8q6j?472:?g`?0>34nh6;74=e`92<=:lh0=563k9;4:?8b?2?301i95689>`3<1127o9786;<f7>3?<5m91:452d385=>;bn3<270kj:7;89`b=>016in499:?ff?0>34oj6;74=d;92<=:m10=563j7;4:?8c12?301h;5689>a1<1127n?786;<g1>3?<5l;1:452e185=>;cn3<270jj:7;89a7=>016h=499:p56g=838p1<<7:4f8944>2180q~?<9;296~;6:>03>63>2885=>{t9:21<7<t=005>=4<58826;o4}r31g?6=:r7m:76=;<313?0>3ty:>i4?:3y>b2<?:27:>:49a:p57c=838p1k65839>570=>01v<<i:1818`>21801<<9:7c8yv7493:1;v3id;:1?876l32h70?>a;:`?876>32h70?>2;:`?877m32h70??a;:`?xu6:h0;6?u2fb85g>;ai3297p}>3183>7}:nj03>63id;4;?xu6:k0;6?u2fc8;6>;ak3<27p}>3683>6}:9;314k5210f9<g=:98o1:45rs015>5<3s4;9:76i;<313?>a34;:m76m;<32f?0>3ty:?84?:7y>b<<1k27m478l;<d4>3e<5o<1:n521049<g=:98=1:45rs017>5<1s4l26;l4=g:92g=:n>0=n63i6;4a?876:32i70?>3;4:?xu6;:0;6;u2f885e>;a03<j70h8:7c89c0=>h16==k58c9>55`=>01v<==:1858`>2?301k65689>b2<1127m:786;<33e?>e34;;n786;|q246<72;q6==o55e9>55e=0;1vkk50;1x946c2;801<??:308946>2>>0q~??4;296~;68l0>h63>118;6>{tno0;6>u2103967=:98>1>?5211f931=z{8:>6=4={<326?3c34;:876=;|q245<72:q6=<;5239>54>=:;16=<?5759~w4612909w0?>6;7g?87603297p}>0083>6}:9831>?5210a967=:98?1;95rs024>5<5s4;:m7;k;<32g?>53ty:<?4?:3y>54`=:;16=<75759~w46?2909w0?>d;7g?876n3297p}>2183>6}:99h14?5211;9<c=:99i1;>5rs002>5<4s4;;j76=;<33`?>a34;:<79<;|q267<72:q6=<=5839>547=0o16=<:5729~w4442908w0?>7;:1?876=32m70?>8;50?xu6:=0;6>u210`9<7=:98314k5210a936=z{88>6=4={<32a?>534;:j79<;|q20d<72;q6=9<5689>512=0;1v<:7:181873=38;70?;2;:1?xu6<>0;64u21539<f=:9=:14n5212d9<f=:9:o14n5212f9<f=:9:i14n5212`9<f=:9=?14n521549<7=z{8>i6=4={<30f?>e34;?8786;|q20f<72:q6=>m58c9>56d==m16=9:56`9~w42c2908w0?<d;:a?874k3?o70?;4;4a?xu6<l0;6>u212g9<g=:9:n19i5215692f=z{8>m6=4<{<30b?>e34;8i7;k;<377?0>3ty:9=4?:2y>516=0k16=>h55e9>515=>h1v<:6:181873;32970?;2;4b?xu6=80;6>u21539<g=:9=:19i5215192g=zug9;n7>51zJ23a=zf::h6=4>{I34`>{i;9n1<7?tH05g?xh48l0;6<uG16f8yk57n3:1=vF>7e9~j677290:wE?8d:m747=83;pD<9k;|l057<728qC=:j4}o127?6=9rB:;i5rn237>5<6sA;<h6sa30794?7|@8=o7p`<1783>4}O9>n0qc=>7;295~N6?m1vb>?7:182M70l2we?<750;3xL41c3td8=l4?:0yK52b<ug9:n7>51zJ23a=zf:;h6=4>{I34`>{i;8n1<7?tH05g?xh49l0;6<uG16f8yk56n3:1=vF>7e9~j647290:wE?8d:m777=83;pD<9k;|l067<728qC=:j4}o117?6=9rB:;i5rn207>5<6sA;<h6sa33794?7|@8=o7p`<2783>4}O9>n0qc==7;295~N6?m1vb><7:182M70l2we??750;3xL41c3td8>l4?:0yK52b<ug99n7>51zJ23a=zf:8h6=4>{I34`>{i;;n1<7?tH938L=6<@8=o7p`<2d83>4}O9>n0qc==f;295~N6?m1vb>=?:182M>63A2;7E?8d:m767=83;pD5?4H928L41c3td8??4?:0yK52b<ug98?7>51zJ23a=zf:9?6=4>{I34`>{i;:?1<7?tH05g?xh4;?0;6<uG16f8yk54?3:1=vF>7e9~j65?290:wE?8d:m76?=83;pD<9k;|l07d<728qC=:j4}o10f?6=9rB:;i5rn21`>5<6sA;<h6sa32f94?7|@8=o7p`<3d83>4}O9>n0qc=<f;295~N6?m1vb>:?:182M70l2we?9?50;3xL41c3td88?4?:0yK52b<ug9??7>51zJ23a=zf:>?6=4>{I34`>{i;=?1<7?tH05g?xh4<?0;6<uG16f8yk53?3:1=vF>7e9~j62?290:wE?8d:m71?=83;pD<9k;|l00d<728qC=:j4}o17f?6=9rB:;i5rn26`>5<6sA;<h6sa35f94?7|@8=o7p`<4d83>4}O9>n0qc=;f;295~N6?m1vb>;?:182M70l2we?8?50;3xL41c3td89?4?:0yK52b<ug9>?7>51zJ23a=zf:??6=4>{I34`>{i;<?1<7?tH05g?xh4=?0;6<uG16f8yk52?3:1=vF>7e9~j63?290:wE?8d:m70?=83;pD<9k;|l01d<728qC=:j4}o16f?6=9rB:;i5rn27`>5<6sA;<h6sa34f94?7|@8=o7p`<5d83>4}O9>n0qc=:f;295~N6?m1vb>8?:182M70l2we?;?50;3xL41c3td8:?4?:0yK52b<ug9=?7>51zJ23a=zf:<?6=4>{I34`>{i;??1<7?tH05g?xh4>?0;6<uG16f8yk51?3:1=vF>7e9~j60?290:wE?8d:m73?=83;pD<9k;|l02d<728qC=:j4}o15f?6=9rB:;i5rn24`>5<6sA;<h6sa37f94?7|@8=o7p`<6d83>4}O9>n0qc=9f;295~N6?m1vb>9?:182M70l2we?:?50;3xL41c3td8;?4?:0yK52b<ug9<?7>51zJ23a=zf:=?6=4>{I34`>{i;>?1<7?tH05g?xh4??0;6<uG16f8yk50?3:1=vF>7e9~j61?290:wE?8d:m72?=83;pD<9k;|l03d<728qC=:j4}o14f?6=9rB:;i5rn25`>5<6sA;<h6sa36f94?7|@8=o7p`<7d83>4}O9>n0qc=8f;295~N6?m1vb>6?:182M70l2we?5?50;3xL41c3td84?4?:0yK52b<ug93?7>51zJ23a=zf:2?6=4>{I34`>{i;1?1<7?tH05g?xh40?0;6<uG16f8yk5??3:1=vF>7e9~j6>?290:wE?8d:m7=?=83;pD<9k;|~yEFDs=:26o>7e7030xFGJr:vLM^t}AB
\ No newline at end of file
-------------------------------------------------------------------------------
-- Copyright (c) 2014 Xilinx, Inc.
-- All Rights Reserved
-------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 14.4
-- \ \ Application: XILINX CORE Generator
-- / / Filename : ila_icon.vhd
-- /___/ /\ Timestamp : Mon Jan 20 14:27:06 CET 2014
-- \ \ / \
-- \___\/\___\
--
-- Design Name: VHDL Synthesis Wrapper
-------------------------------------------------------------------------------
-- This wrapper is used to integrate with Project Navigator and PlanAhead
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY ila_icon IS
port (
CONTROL0: inout std_logic_vector(35 downto 0));
END ila_icon;
ARCHITECTURE ila_icon_a OF ila_icon IS
BEGIN
END ila_icon_a;
##############################################################
#
# Xilinx Core Generator version 14.4
# Date: Mon Jan 20 13:26:39 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:chipscope_icon:1.06.a
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Structural
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT ICON_(ChipScope_Pro_-_Integrated_Controller) family Xilinx,_Inc. 1.06.a
# END Select
# BEGIN Parameters
CSET component_name=ila_icon
CSET constraint_type=external
CSET enable_jtag_bufg=true
CSET example_design=false
CSET number_control_ports=1
CSET use_ext_bscan=false
CSET use_softbscan=false
CSET use_unused_bscan=false
CSET user_scan_chain=USER1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-12-18T02:47:25Z
# END Extra information
GENERATE
# CRC: 8974d9d4
This source diff could not be displayed because it is too large. You can view the blob instead.
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2014 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file l2p_fifo.vhd when simulating
-- the core, l2p_fifo. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY l2p_fifo IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC
);
END l2p_fifo;
ARCHITECTURE l2p_fifo_a OF l2p_fifo IS
-- synthesis translate_off
COMPONENT wrapped_l2p_fifo
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_l2p_fifo USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 0,
c_count_type => 0,
c_data_count_width => 10,
c_default_value => "BlankString",
c_din_width => 32,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "00C0FFEE",
c_dout_width => 32,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "spartan6",
c_full_flags_rst_val => 1,
c_has_almost_empty => 0,
c_has_almost_full => 0,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 0,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 1,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 2,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 1,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 0,
c_preload_regs => 1,
c_prim_fifo_type => "1kx36",
c_prog_empty_thresh_assert_val => 4,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 5,
c_prog_empty_type => 0,
c_prog_empty_type_axis => 0,
c_prog_empty_type_rach => 0,
c_prog_empty_type_rdch => 0,
c_prog_empty_type_wach => 0,
c_prog_empty_type_wdch => 0,
c_prog_empty_type_wrch => 0,
c_prog_full_thresh_assert_val => 1023,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 1022,
c_prog_full_type => 4,
c_prog_full_type_axis => 0,
c_prog_full_type_rach => 0,
c_prog_full_type_rdch => 0,
c_prog_full_type_wach => 0,
c_prog_full_type_wdch => 0,
c_prog_full_type_wrch => 0,
c_rach_type => 0,
c_rd_data_count_width => 10,
c_rd_depth => 1024,
c_rd_freq => 1,
c_rd_pntr_width => 10,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
c_synchronizer_stage => 2,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 0,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 10,
c_wr_depth => 1024,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 10,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_l2p_fifo
PORT MAP (
rst => rst,
wr_clk => wr_clk,
rd_clk => rd_clk,
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_full_thresh_assert => prog_full_thresh_assert,
prog_full_thresh_negate => prog_full_thresh_negate,
dout => dout,
full => full,
empty => empty,
valid => valid,
prog_full => prog_full
);
-- synthesis translate_on
END l2p_fifo_a;
##############################################################
#
# Xilinx Core Generator version 14.7
# Date: Tue Nov 18 14:54:07 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:9.3
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET aruser_width=1
CSET awuser_width=1
CSET axi_address_width=32
CSET axi_data_width=64
CSET axi_type=AXI4_Stream
CSET axis_type=FIFO
CSET buser_width=1
CSET clock_enable_type=Slave_Interface_Clock_Enable
CSET clock_type_axi=Common_Clock
CSET component_name=l2p_fifo
CSET data_count=false
CSET data_count_width=10
CSET disable_timing_violations=false
CSET disable_timing_violations_axi=false
CSET dout_reset_value=00C0FFEE
CSET empty_threshold_assert_value=4
CSET empty_threshold_assert_value_axis=1022
CSET empty_threshold_assert_value_rach=1022
CSET empty_threshold_assert_value_rdch=1022
CSET empty_threshold_assert_value_wach=1022
CSET empty_threshold_assert_value_wdch=1022
CSET empty_threshold_assert_value_wrch=1022
CSET empty_threshold_negate_value=5
CSET enable_aruser=false
CSET enable_awuser=false
CSET enable_buser=false
CSET enable_common_overflow=false
CSET enable_common_underflow=false
CSET enable_data_counts_axis=false
CSET enable_data_counts_rach=false
CSET enable_data_counts_rdch=false
CSET enable_data_counts_wach=false
CSET enable_data_counts_wdch=false
CSET enable_data_counts_wrch=false
CSET enable_ecc=false
CSET enable_ecc_axis=false
CSET enable_ecc_rach=false
CSET enable_ecc_rdch=false
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
CSET enable_ruser=false
CSET enable_tdata=false
CSET enable_tdest=false
CSET enable_tid=false
CSET enable_tkeep=false
CSET enable_tlast=false
CSET enable_tready=true
CSET enable_tstrobe=false
CSET enable_tuser=false
CSET enable_write_channel=false
CSET enable_wuser=false
CSET fifo_application_type_axis=Data_FIFO
CSET fifo_application_type_rach=Data_FIFO
CSET fifo_application_type_rdch=Data_FIFO
CSET fifo_application_type_wach=Data_FIFO
CSET fifo_application_type_wdch=Data_FIFO
CSET fifo_application_type_wrch=Data_FIFO
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET fifo_implementation_axis=Common_Clock_Block_RAM
CSET fifo_implementation_rach=Common_Clock_Block_RAM
CSET fifo_implementation_rdch=Common_Clock_Block_RAM
CSET fifo_implementation_wach=Common_Clock_Block_RAM
CSET fifo_implementation_wdch=Common_Clock_Block_RAM
CSET fifo_implementation_wrch=Common_Clock_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=1023
CSET full_threshold_assert_value_axis=1023
CSET full_threshold_assert_value_rach=1023
CSET full_threshold_assert_value_rdch=1023
CSET full_threshold_assert_value_wach=1023
CSET full_threshold_assert_value_wdch=1023
CSET full_threshold_assert_value_wrch=1023
CSET full_threshold_negate_value=1022
CSET id_width=4
CSET inject_dbit_error=false
CSET inject_dbit_error_axis=false
CSET inject_dbit_error_rach=false
CSET inject_dbit_error_rdch=false
CSET inject_dbit_error_wach=false
CSET inject_dbit_error_wdch=false
CSET inject_dbit_error_wrch=false
CSET inject_sbit_error=false
CSET inject_sbit_error_axis=false
CSET inject_sbit_error_rach=false
CSET inject_sbit_error_rdch=false
CSET inject_sbit_error_wach=false
CSET inject_sbit_error_wdch=false
CSET inject_sbit_error_wrch=false
CSET input_data_width=32
CSET input_depth=1024
CSET input_depth_axis=1024
CSET input_depth_rach=16
CSET input_depth_rdch=1024
CSET input_depth_wach=16
CSET input_depth_wdch=1024
CSET input_depth_wrch=16
CSET interface_type=Native
CSET output_data_width=32
CSET output_depth=1024
CSET overflow_flag=false
CSET overflow_flag_axi=false
CSET overflow_sense=Active_High
CSET overflow_sense_axi=Active_High
CSET performance_options=First_Word_Fall_Through
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold
CSET programmable_full_type=Multiple_Programmable_Full_Threshold_Input_Ports
CSET programmable_full_type_axis=No_Programmable_Full_Threshold
CSET programmable_full_type_rach=No_Programmable_Full_Threshold
CSET programmable_full_type_rdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wach=No_Programmable_Full_Threshold
CSET programmable_full_type_wdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wrch=No_Programmable_Full_Threshold
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=10
CSET register_slice_mode_axis=Fully_Registered
CSET register_slice_mode_rach=Fully_Registered
CSET register_slice_mode_rdch=Fully_Registered
CSET register_slice_mode_wach=Fully_Registered
CSET register_slice_mode_wdch=Fully_Registered
CSET register_slice_mode_wrch=Fully_Registered
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET synchronization_stages=2
CSET synchronization_stages_axi=2
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
CSET tkeep_width=4
CSET tstrb_width=4
CSET tuser_width=4
CSET underflow_flag=false
CSET underflow_flag_axi=false
CSET underflow_sense=Active_High
CSET underflow_sense_axi=Active_High
CSET use_clock_enable=false
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=true
CSET valid_sense=Active_High
CSET wach_type=FIFO
CSET wdch_type=FIFO
CSET wrch_type=FIFO
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=10
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-11-19T12:39:56Z
# END Extra information
GENERATE
# CRC: 623082b9
This source diff could not be displayed because it is too large. You can view the blob instead.
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2014 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file rx_bridge_ctrl_fifo.vhd when simulating
-- the core, rx_bridge_ctrl_fifo. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY rx_bridge_ctrl_fifo IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END rx_bridge_ctrl_fifo;
ARCHITECTURE rx_bridge_ctrl_fifo_a OF rx_bridge_ctrl_fifo IS
-- synthesis translate_off
COMPONENT wrapped_rx_bridge_ctrl_fifo
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_rx_bridge_ctrl_fifo USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 0,
c_count_type => 0,
c_data_count_width => 8,
c_default_value => "BlankString",
c_din_width => 64,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 64,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "spartan6",
c_full_flags_rst_val => 1,
c_has_almost_empty => 0,
c_has_almost_full => 0,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 0,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 0,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 2,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 1,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 0,
c_preload_regs => 1,
c_prim_fifo_type => "512x72",
c_prog_empty_thresh_assert_val => 4,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 5,
c_prog_empty_type => 0,
c_prog_empty_type_axis => 0,
c_prog_empty_type_rach => 0,
c_prog_empty_type_rdch => 0,
c_prog_empty_type_wach => 0,
c_prog_empty_type_wdch => 0,
c_prog_empty_type_wrch => 0,
c_prog_full_thresh_assert_val => 255,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 254,
c_prog_full_type => 0,
c_prog_full_type_axis => 0,
c_prog_full_type_rach => 0,
c_prog_full_type_rdch => 0,
c_prog_full_type_wach => 0,
c_prog_full_type_wdch => 0,
c_prog_full_type_wrch => 0,
c_rach_type => 0,
c_rd_data_count_width => 8,
c_rd_depth => 256,
c_rd_freq => 1,
c_rd_pntr_width => 8,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
c_synchronizer_stage => 2,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 0,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 8,
c_wr_depth => 256,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 8,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_rx_bridge_ctrl_fifo
PORT MAP (
rst => rst,
wr_clk => wr_clk,
rd_clk => rd_clk,
din => din,
wr_en => wr_en,
rd_en => rd_en,
dout => dout,
full => full,
empty => empty
);
-- synthesis translate_on
END rx_bridge_ctrl_fifo_a;
##############################################################
#
# Xilinx Core Generator version 14.7
# Date: Fri Nov 21 12:36:03 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:9.3
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET aruser_width=1
CSET awuser_width=1
CSET axi_address_width=32
CSET axi_data_width=64
CSET axi_type=AXI4_Stream
CSET axis_type=FIFO
CSET buser_width=1
CSET clock_enable_type=Slave_Interface_Clock_Enable
CSET clock_type_axi=Common_Clock
CSET component_name=rx_bridge_ctrl_fifo
CSET data_count=false
CSET data_count_width=8
CSET disable_timing_violations=false
CSET disable_timing_violations_axi=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=4
CSET empty_threshold_assert_value_axis=1022
CSET empty_threshold_assert_value_rach=1022
CSET empty_threshold_assert_value_rdch=1022
CSET empty_threshold_assert_value_wach=1022
CSET empty_threshold_assert_value_wdch=1022
CSET empty_threshold_assert_value_wrch=1022
CSET empty_threshold_negate_value=5
CSET enable_aruser=false
CSET enable_awuser=false
CSET enable_buser=false
CSET enable_common_overflow=false
CSET enable_common_underflow=false
CSET enable_data_counts_axis=false
CSET enable_data_counts_rach=false
CSET enable_data_counts_rdch=false
CSET enable_data_counts_wach=false
CSET enable_data_counts_wdch=false
CSET enable_data_counts_wrch=false
CSET enable_ecc=false
CSET enable_ecc_axis=false
CSET enable_ecc_rach=false
CSET enable_ecc_rdch=false
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
CSET enable_ruser=false
CSET enable_tdata=false
CSET enable_tdest=false
CSET enable_tid=false
CSET enable_tkeep=false
CSET enable_tlast=false
CSET enable_tready=true
CSET enable_tstrobe=false
CSET enable_tuser=false
CSET enable_write_channel=false
CSET enable_wuser=false
CSET fifo_application_type_axis=Data_FIFO
CSET fifo_application_type_rach=Data_FIFO
CSET fifo_application_type_rdch=Data_FIFO
CSET fifo_application_type_wach=Data_FIFO
CSET fifo_application_type_wdch=Data_FIFO
CSET fifo_application_type_wrch=Data_FIFO
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET fifo_implementation_axis=Common_Clock_Block_RAM
CSET fifo_implementation_rach=Common_Clock_Block_RAM
CSET fifo_implementation_rdch=Common_Clock_Block_RAM
CSET fifo_implementation_wach=Common_Clock_Block_RAM
CSET fifo_implementation_wdch=Common_Clock_Block_RAM
CSET fifo_implementation_wrch=Common_Clock_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=255
CSET full_threshold_assert_value_axis=1023
CSET full_threshold_assert_value_rach=1023
CSET full_threshold_assert_value_rdch=1023
CSET full_threshold_assert_value_wach=1023
CSET full_threshold_assert_value_wdch=1023
CSET full_threshold_assert_value_wrch=1023
CSET full_threshold_negate_value=254
CSET id_width=4
CSET inject_dbit_error=false
CSET inject_dbit_error_axis=false
CSET inject_dbit_error_rach=false
CSET inject_dbit_error_rdch=false
CSET inject_dbit_error_wach=false
CSET inject_dbit_error_wdch=false
CSET inject_dbit_error_wrch=false
CSET inject_sbit_error=false
CSET inject_sbit_error_axis=false
CSET inject_sbit_error_rach=false
CSET inject_sbit_error_rdch=false
CSET inject_sbit_error_wach=false
CSET inject_sbit_error_wdch=false
CSET inject_sbit_error_wrch=false
CSET input_data_width=64
CSET input_depth=256
CSET input_depth_axis=1024
CSET input_depth_rach=16
CSET input_depth_rdch=1024
CSET input_depth_wach=16
CSET input_depth_wdch=1024
CSET input_depth_wrch=16
CSET interface_type=Native
CSET output_data_width=64
CSET output_depth=256
CSET overflow_flag=false
CSET overflow_flag_axi=false
CSET overflow_sense=Active_High
CSET overflow_sense_axi=Active_High
CSET performance_options=First_Word_Fall_Through
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold
CSET programmable_full_type=No_Programmable_Full_Threshold
CSET programmable_full_type_axis=No_Programmable_Full_Threshold
CSET programmable_full_type_rach=No_Programmable_Full_Threshold
CSET programmable_full_type_rdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wach=No_Programmable_Full_Threshold
CSET programmable_full_type_wdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wrch=No_Programmable_Full_Threshold
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=8
CSET register_slice_mode_axis=Fully_Registered
CSET register_slice_mode_rach=Fully_Registered
CSET register_slice_mode_rdch=Fully_Registered
CSET register_slice_mode_wach=Fully_Registered
CSET register_slice_mode_wdch=Fully_Registered
CSET register_slice_mode_wrch=Fully_Registered
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET synchronization_stages=2
CSET synchronization_stages_axi=2
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
CSET tkeep_width=4
CSET tstrb_width=4
CSET tuser_width=4
CSET underflow_flag=false
CSET underflow_flag_axi=false
CSET underflow_sense=Active_High
CSET underflow_sense_axi=Active_High
CSET use_clock_enable=false
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET wach_type=FIFO
CSET wdch_type=FIFO
CSET wrch_type=FIFO
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=8
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-11-19T12:39:56Z
# END Extra information
GENERATE
# CRC: c06ad576
This source diff could not be displayed because it is too large. You can view the blob instead.
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2014 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file rx_bridge_fifo.vhd when simulating
-- the core, rx_bridge_fifo. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY rx_bridge_fifo IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC
);
END rx_bridge_fifo;
ARCHITECTURE rx_bridge_fifo_a OF rx_bridge_fifo IS
-- synthesis translate_off
COMPONENT wrapped_rx_bridge_fifo
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_rx_bridge_fifo USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 0,
c_count_type => 0,
c_data_count_width => 11,
c_default_value => "BlankString",
c_din_width => 32,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 32,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "spartan6",
c_full_flags_rst_val => 1,
c_has_almost_empty => 0,
c_has_almost_full => 0,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 0,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 0,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 2,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 1,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 0,
c_preload_regs => 1,
c_prim_fifo_type => "2kx18",
c_prog_empty_thresh_assert_val => 4,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 5,
c_prog_empty_type => 3,
c_prog_empty_type_axis => 0,
c_prog_empty_type_rach => 0,
c_prog_empty_type_rdch => 0,
c_prog_empty_type_wach => 0,
c_prog_empty_type_wdch => 0,
c_prog_empty_type_wrch => 0,
c_prog_full_thresh_assert_val => 2047,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 2046,
c_prog_full_type => 3,
c_prog_full_type_axis => 0,
c_prog_full_type_rach => 0,
c_prog_full_type_rdch => 0,
c_prog_full_type_wach => 0,
c_prog_full_type_wdch => 0,
c_prog_full_type_wrch => 0,
c_rach_type => 0,
c_rd_data_count_width => 11,
c_rd_depth => 2048,
c_rd_freq => 1,
c_rd_pntr_width => 11,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
c_synchronizer_stage => 2,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 0,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 11,
c_wr_depth => 2048,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 11,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_rx_bridge_fifo
PORT MAP (
rst => rst,
wr_clk => wr_clk,
rd_clk => rd_clk,
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => prog_empty_thresh,
prog_full_thresh => prog_full_thresh,
dout => dout,
full => full,
empty => empty,
prog_full => prog_full,
prog_empty => prog_empty
);
-- synthesis translate_on
END rx_bridge_fifo_a;
##############################################################
#
# Xilinx Core Generator version 14.7
# Date: Wed Nov 12 08:11:17 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:9.3
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET aruser_width=1
CSET awuser_width=1
CSET axi_address_width=32
CSET axi_data_width=64
CSET axi_type=AXI4_Stream
CSET axis_type=FIFO
CSET buser_width=1
CSET clock_enable_type=Slave_Interface_Clock_Enable
CSET clock_type_axi=Common_Clock
CSET component_name=rx_bridge_fifo
CSET data_count=false
CSET data_count_width=11
CSET disable_timing_violations=false
CSET disable_timing_violations_axi=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=4
CSET empty_threshold_assert_value_axis=1022
CSET empty_threshold_assert_value_rach=1022
CSET empty_threshold_assert_value_rdch=1022
CSET empty_threshold_assert_value_wach=1022
CSET empty_threshold_assert_value_wdch=1022
CSET empty_threshold_assert_value_wrch=1022
CSET empty_threshold_negate_value=5
CSET enable_aruser=false
CSET enable_awuser=false
CSET enable_buser=false
CSET enable_common_overflow=false
CSET enable_common_underflow=false
CSET enable_data_counts_axis=false
CSET enable_data_counts_rach=false
CSET enable_data_counts_rdch=false
CSET enable_data_counts_wach=false
CSET enable_data_counts_wdch=false
CSET enable_data_counts_wrch=false
CSET enable_ecc=false
CSET enable_ecc_axis=false
CSET enable_ecc_rach=false
CSET enable_ecc_rdch=false
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
CSET enable_ruser=false
CSET enable_tdata=false
CSET enable_tdest=false
CSET enable_tid=false
CSET enable_tkeep=false
CSET enable_tlast=false
CSET enable_tready=true
CSET enable_tstrobe=false
CSET enable_tuser=false
CSET enable_write_channel=false
CSET enable_wuser=false
CSET fifo_application_type_axis=Data_FIFO
CSET fifo_application_type_rach=Data_FIFO
CSET fifo_application_type_rdch=Data_FIFO
CSET fifo_application_type_wach=Data_FIFO
CSET fifo_application_type_wdch=Data_FIFO
CSET fifo_application_type_wrch=Data_FIFO
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET fifo_implementation_axis=Common_Clock_Block_RAM
CSET fifo_implementation_rach=Common_Clock_Block_RAM
CSET fifo_implementation_rdch=Common_Clock_Block_RAM
CSET fifo_implementation_wach=Common_Clock_Block_RAM
CSET fifo_implementation_wdch=Common_Clock_Block_RAM
CSET fifo_implementation_wrch=Common_Clock_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=2047
CSET full_threshold_assert_value_axis=1023
CSET full_threshold_assert_value_rach=1023
CSET full_threshold_assert_value_rdch=1023
CSET full_threshold_assert_value_wach=1023
CSET full_threshold_assert_value_wdch=1023
CSET full_threshold_assert_value_wrch=1023
CSET full_threshold_negate_value=2046
CSET id_width=4
CSET inject_dbit_error=false
CSET inject_dbit_error_axis=false
CSET inject_dbit_error_rach=false
CSET inject_dbit_error_rdch=false
CSET inject_dbit_error_wach=false
CSET inject_dbit_error_wdch=false
CSET inject_dbit_error_wrch=false
CSET inject_sbit_error=false
CSET inject_sbit_error_axis=false
CSET inject_sbit_error_rach=false
CSET inject_sbit_error_rdch=false
CSET inject_sbit_error_wach=false
CSET inject_sbit_error_wdch=false
CSET inject_sbit_error_wrch=false
CSET input_data_width=32
CSET input_depth=2048
CSET input_depth_axis=1024
CSET input_depth_rach=16
CSET input_depth_rdch=1024
CSET input_depth_wach=16
CSET input_depth_wdch=1024
CSET input_depth_wrch=16
CSET interface_type=Native
CSET output_data_width=32
CSET output_depth=2048
CSET overflow_flag=false
CSET overflow_flag_axi=false
CSET overflow_sense=Active_High
CSET overflow_sense_axi=Active_High
CSET performance_options=First_Word_Fall_Through
CSET programmable_empty_type=Single_Programmable_Empty_Threshold_Input_Port
CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold
CSET programmable_full_type=Single_Programmable_Full_Threshold_Input_Port
CSET programmable_full_type_axis=No_Programmable_Full_Threshold
CSET programmable_full_type_rach=No_Programmable_Full_Threshold
CSET programmable_full_type_rdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wach=No_Programmable_Full_Threshold
CSET programmable_full_type_wdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wrch=No_Programmable_Full_Threshold
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=11
CSET register_slice_mode_axis=Fully_Registered
CSET register_slice_mode_rach=Fully_Registered
CSET register_slice_mode_rdch=Fully_Registered
CSET register_slice_mode_wach=Fully_Registered
CSET register_slice_mode_wdch=Fully_Registered
CSET register_slice_mode_wrch=Fully_Registered
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET synchronization_stages=2
CSET synchronization_stages_axi=2
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
CSET tkeep_width=4
CSET tstrb_width=4
CSET tuser_width=4
CSET underflow_flag=false
CSET underflow_flag_axi=false
CSET underflow_sense=Active_High
CSET underflow_sense_axi=Active_High
CSET use_clock_enable=false
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET wach_type=FIFO
CSET wdch_type=FIFO
CSET wrch_type=FIFO
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=11
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-11-19T12:39:56Z
# END Extra information
GENERATE
# CRC: 19496c58
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$53;44<,[o}e~g`n;"2*73>(-80!<m4/+])[WGIOL*!=6>:;123452739:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456788:0<5>;0322<527:9;;=6?>a:30>LHW]]0JHI\NWSCG@W:6:3:5=l5>3;KMTPR=IMNYN_JNDEP?57<768>0=;4FNQWW>AOFL@6:97>115922?OIX\^1HDLKI=36>586=2;=6B[[PTV9@JGCG5;>6=0>5:35>JSSX\^1HBLKO=36>586;2;1EC^ZT;PFCFCF490;2<=41;KMTPR=ZLMHIO2?:1<25>7=AGZ^X7\\T@>3>58692;1EC^ZT;PPPG:7294:7?:4221051=52@D[YY4KIQCGMU:6294:86<5IORVP?BNXKNB\1?50?30?7<NFY__6ZKNWDN?5?699:196D@_UU8TAGQB]5;1<3?:;38LQQVR\3ND\LJ@P=394;723;0DYY^ZT;FLTGBHX5;1<3?<;209MKVR\3NBBL2<1;2=56=4:3CE\XZ5DHLA867=87;97><5IORVP?bnf59:6=0>5:11>JSSX\^1HB[[A=12>586=2996B[[PTV9@JSSJ59:6=0>4:11>JSSX\^1hb{{<2394;><<9;;<9>>1197>LHW]]0\IL2<:1<24>2=AGZ^X7YJB=194;773<?>9<>?002344678=1>9I;>;7682<?1991=548667;:23?110<:>685IORVP?GCL[K797>11395>LHW]]0JHI\M<483:41<>3E^X][[:SFB@ATBKJ6>6=0=;7C7?3GFI11=ONMLCBAe?3ukp=?jji;85/055=00O:M<K>I0G2E4C6?31:;4?>?2028<56?:9:98=>;112255=?8=:9<<>?8163657331>9=957E9C2?<7730:;5=>?01234<671;12:55667;5=<0330KJM?5N699B@ATF49437LJKR@>2:==FLMXJ0?07;@FGVD:4611JHI\N<5<b?DBCZH6>6=07;@FGVD:26l1JHI\NWSCG@W:76o1JHI\NWSCG@W:687l0MIJ]AVPB@AT;984:=6OKDSCTVDBCZ5;96=0i;@FGVDQUIMNY0<<1e:CG@WGPZHNO^1?1e:CG@WGPZHNO^1<1e:CG@WGPZHNO^1=1e:CG@WGPZHNO^1:1e:CG@WGPZHNO^1;1e:CG@WGPZHNO^181e:CG@WGPZHNO^191e:CG@WGPZHNO^161e:CG@WGPZHNO^1718:CG@WD;8720MIJ]B=3=<>GCL[H7>364AEFQF95902KOH_L34?c8EABUJ5?1<364AEFQF939m2KOH_L]D@FGV969n2KOH_L]D@FGV9776o1JHI\MRECG@W:697;:7LJKRCPGEABU4881<3h4AEFQFWBFLMX7=?0j;@FGVGTCIMNY0<0j;@FGVGTCIMNY0?0j;@FGVGTCIMNY0>0j;@FGVGTCIMNY090j;@FGVGTCIMNY080j;@FGVGTCIMNY0;0j;@FGVGTCIMNY0:0j;@FGVGTCIMNY050j;@FGVGTCIMNY040=;@G0?DJK12KXUCMPRDE;?GGFI1KJM<84BLO\MK@HWHNO^H]\_SCMC@><J\YTECH@1:A;?F0DKJIHO?5LE008GMCDCVFBOH@\E^VZT@2<KEA;:6MCK0:32>EKC;=;96MCK3Z;?FJL:Q;3<85LLJ;30>EKCH?0OAEN169@HNG6L;=0OAEN1E64?FJLI8N356MCK@UQGIM33JF@N85LLJ@2=>EKCKXOOAE:;BNHG43<KEAO=85LLJFUg>EKCM\THDXFDD78GIMAP11H@FHW1920?FJU12IDA@G[TDF20>EHZLULICK]AUKLJZOINF=0O_KNTDF2?Ae<LH^JSZGKTI]Bg>BF\HU\EIZG_C58@GOSM[X?7IK8B99GMDBN49427IGNDH>24;?<L@KOE1?>>89GMDBN488556JFAEK?568>3MCJHD2>4?`8@LGCA5;>6=06;EKB@L:6=720HDOKI=3=<>BNIMC7>364DHCGM95902NBMIG34?:8@LGCA5?546JFAEK?2;><L@KOE1918:FJEAO;0720HDOKI=;=<>BNJMC7<374DH@GM977601OEOJF<03==>BNJMC7=?06;EKA@L:6;730HDLKI=37:g=CAKNB0<;50?;8@LDCA5;>255KICFJ848?3MCIHD2=>99GMGBN4:437IGMDH>7:==CAKNB0807;EKA@L:1611OEOJF<6<;?AOEL@63255KICFJ8<803MCEM1>18:FJJD:68720HD@N<03=<>BNFH6:>364DHLB845902NBBL2>4?:8@LHF48?546JFN@>22;><L@DJ0<918:FJJD:60720HD@N<0;=3>BNFH6:255KIOC?658?3MCEM1<>>99GMKG;:;437IGAA=00:==CAGK7>907;EKME942611OECO327<;?AOII58<255KIOC?6=8?3MCEM1<6>69GMKG;:720HD@N<22=e>BNFH68=7>18:FJJD:497=0HD@N<2<4?AOII5>5;6JFN@>6:2=CAGK7:394DHLB82803MCEM1617:FJJD:>6>1OECL30?:8@LHE48:546JFNC>25;><L@DI0<<18:FJJG:6;720HD@M<06=<>BNFK6:9364DHLA840902NBBO2>7?:8@LHE482546JFNC>2=;1<L@DI0<07;EKMF947611OECL320<;?AOIJ589255KIO@?668?3MCEN1<;>99GMKD;:<437IGAB=05:==CAGH7>:07;EKMF94?611OECL328<4?AOIJ58546JFNC>04;g<L@DI0>?50?:8@LHE4:;5;6JFNC>0:2=CAGH78394DHLA80803MCEN1817:FJJG:06>1OECL38?58@LHE404j7IG_AEKS858d3MC[MIG_<083:d=CAYKOE]2>>`9GMUDCAY6;2n5KIQ@GMU:6294j7IG_BEKS848?3MEJHB2?>89GKDBH48:556J@AEM?548>3MEJHB2>2?;8@JGCG5;8245KO@FL8429j2NDMIA31483:<=CGHND0<;18:FLEAI;9720HBOKO=0=<>BHIME7?364DNCGK92902NDMIA35?:8@JGCG5<546J@AEM?3;><LFKOC1618:FLEAI;17=0HBOPRDE;?AIELF6;245KOCFL846912NDNIA310<:?AIELF6:>374DN@GK974601OCOJ@<06=f>BHJME7=84?>89GKGBH48?546J@BEM?5;><LFHOC1<18:FLFAI;;720HBLKO=6=<>BHJME79364DN@GK90902NDNIA37?:8@JDCG52546J@BEM?=;1<LFHT^HIn;EMSEAIW494h7IA_AEMS84<76h1OC]OKOQ>2:d=CGYHOC]2?>b9GKUDCGY6:6=0n;EMSFAIW48437IAZT@>3:<=CG\^J0<>19:FLQQG;98427IAZT@>26;?<LF__M1?<>89GKPRF48>556J@UUC?508>3ME^XL2>6?;8@JSSI5;<245KOTVB84>912NDYYO318<;?AIR\H6:245KOTVB876912NDYYO320<:?AIR\H69>374DNWWE944601OCXZN<36==>BH]]K7>806;EMVPD:5>730HB[[A=04:<=CG\^J0?619:FLQQG;:0437IAZT@>1:<=CG\^J0>>1b:FLQQG;;80;245KOTVB867902NDYYO33?:8@JSSI5>546J@UUC?1;><LF__M1818:FLQQG;?720HB[[A=:=<>BH]]K75364DNWWF96912NDYYL311<:?AIR\K6:=374DNWWF975601OCXZM<01==>BH]]H7=906;EMVPG:6=730HB[[B=35:<=CG\^I0<919:FLQQD;91427IAZTC>2=;><LF__N1?19:FLQQD;:9427IAZTC>15;?<LF__N1<=>89GKPRE4;9556J@UU@?618>3ME^XO2=5?;8@JSSJ58=245KOTVA871912NDYYL329<:?AIR\K695364DNWWF94912NDYYL331<a?AIR\K68=7>19:FLQQD;;8437IAZTC>0:==CG\^I0907;EMVPG:2611OCXZM<7<;?AIR\K6<255KOTVA8=8?3ME^XO26>59FB1643LDJ;6KAAVPFJ6=BFK=0ICL]DDLa?@HXZZ^YM@QNb:GM[WUSZHGTN<>4F15;B5@7N9L;J=H?8:D1B6@4N:80JI=4FEA7?CBDM:1MH]:4FERF0>@CZL;;7KH7092;4C@789:;<<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:8:KMMQVX8920ECG[P^22<>OIA]ZT<?64IOKWTZ6412CEEY][AUG4?LHN\V:;;6GAIU]352=NF@^T<?94IOKW[5503@DBXR>;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D1<AGC_S=L8;HLJPZ6D?2CEEYQ?D69JJLRX8L=0ECG[_1D4?LHN\V;;;6GAIU]252=NF@^T=?94IOKW[4503@DBXR?;7:KMMQY6=>1BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1<AGC_S<L8;HLJPZ7D?2CEEYQ>D69JJLRX9L=0ECG[_0D5?LHN\VK=7D@FT^@:?LHN\VLB@Hj4IOHFGQBEA]OY^i5FNKG@PVDN\LXY?6GAV79OKDBBL?1GCNEJD69OKBODIE>0@XZ=4:NVP62<D\^?86BZT468HPR1=2GXKB@l;LcikwPbzzcdbn5BiomqR`ttafd87C>>2:L23>HB\^EYG95AOOG2?J703FZ_E@GUE^RQMHOSA_OXSCILc:R-657499;8SA:4P@PWe>VNFVH^_DJWb:RJJZDR[GKFI45_K^JOQQHJ;2ZYI;5_SEMMA4=V92X27_OBB9@TAW><ZHGTABJJc:PGEABUMJI7<3m4RECG@WCDK5;5o6\KAEFQAFE;:7i0^IOKDSG@G959k2XOMIJ]EBA?0;c<ZMKOH_KLC=794;e<ZMKOH_KLC=7=2>TBOJOJn6\JGBGB85<7601YIJMJA=2=f>TBOJOI0=4?>89QABEBJ5:5n6\JGBGAV@ADM=1Y_YO6;SQWE96=87=0^^ZN<1<:?WUSJ5:1<394RRVA85803[Y_N_][7:PPPQ_WMl1Y_YQ_RHMQMQ_XIl1Y_YQ_RHMQMQ_XJ>1XNDZJRS18WAV692YC^HIPEYVQEFRXFNIn7^F]EF]NMKYTASO:?6]FM^ALHIOTAFDTOEKLK79PKPTDM>1X^[OC_@58WWPFDVH=7^ZNTTQ7?V_IK=1_^XK;;U[SA3`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#@v`r^PG[UHSZR:VS_JPPOVQ_4[XPFXT>8Q`_ym?4;71n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!Bxnp\VAYWF]XP=PQ]D^RMPW]5UVRD^R<;_n]{k9699?l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/LzlvZTCWYD_^V<R_SF\TKRUS:WTTB\P22]l[}i;87;=j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-N|jtXZMU[BY\T3\]Q@ZVI\[Q?QRV@R^01[jYg5:5=;h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+H~hzVXOS]@[RZ6^[WBXXG^YW8SPXNP\64YhWqe7<3?9e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT[_Q_NUPX4XYPZVZEX_U>]^ZLVZ3XgVrd0=0>6d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(EqeySZ\PPOVQ_4[X_[U[BY\T2\][KWY3WfUsc1>117g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~RY]_QLWV^4ZW^XT\CZ][2_\\JTX;VeTtb2?>04f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQXR^RMPW]4UV]YS]@[RZ6^[]IUW;UdSua30?35a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PWS]SJQT\<TU\^R^ATSY6YZ^HZV;TcRv`<1<226=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$^IQ_NUPX4XYULVZEX_U>]^ZLVZ42Wf<87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.PG[UHSZR;VS_JPPOVQ_7[XPFXT>9Q`629V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ZMU[BY\T2\]Q@ZVI\[Q8QRV@R^00[j043\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"\K_QLWV^5ZW[NT\CZ][5_\\JTX:;Ud:>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,VAYWF]XP8PQ]D^RMPW]2UVRD^R<>_n41?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&]YS]@[RZ2^[RTXXG^YW<SPXNP\1Zi1:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!XR^RMPW]6UV]YS]@[RZ0^[]IUW=Ud:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,SWYWF]XP>PQXR^RMPW]4UVRD^R=Po708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'^XT\CZ][2_\SWYWF]XP8PQWOS]1[j053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"Y]_QLWV^2ZW^XT\CZ][4_\\JTX9Ve=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"J30?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&{nTyiPioqw+A:66?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW<S!re]ppbYnfz~$H1<1659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(ulVykRgasu-G8681<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#|k_rvd[lht|&N7838;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ3^*wbX{}mTec}{/E>6:31<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%~iQ|tf]jjvr(YVxnkR>97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+tcWz~lSd`|t.S\v`aX9?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW<S!re]ppbYnfz~$]R|jg^053>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'xoS~zh_hlpp*WXzlmT?;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-vaYt|nUbb~z Q^pfcZ21?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#|k_rvd[lht|&[T~hiP5768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,@969>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P xr^qwcZoi{}%O0<094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+quWz~lSd`|t.F?6;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"z|Psue\mkus'M682;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-swYt|nUbb~z D=6=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$|~R}{g^kmwq)C4<4=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/uq[vr`W`dxx"_Prde\431<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%{Q|tf]jjvr(YVxnkR?97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+quWz~lSd`|t.S\v`aX:?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW<S!ws]ppbYnfz~$]R|jg^153>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'}yS~zh_hlpp*WXzlmT8;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-swYt|nUbb~z Q^pfcZ31<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#|k_rvd[lht|&N7<38;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/E>2:32<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(L585:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,q`ZusoVcey!K<2<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*B;<7<?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.sf\wqaXagy#I2:>7:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,UZtbo5:5:55Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,q`ZusoVcey!^_sgd848102_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#|k_rvd[lht|&[T~hi32?4;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&{nTyiPioqw+TYumn682;64U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfc929>11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh<4<53>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlmT<;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfcZ71?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#|k_rvd[lht|&[T~hiP2758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,UZtboV9=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/pg[vr`W`dxx"_Prde\031<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(YVxnkR;94:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.F?4;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'M6:2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z D=0=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)C4:4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"J34?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&~xTyiPioqw+A:26?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg=2=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{ol0<098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`a;:7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.vp\wqaXagy#\Q}ef>0:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnk1:1699V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`4<4=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"_Prde\431<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnkR?97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`aX:?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg^153>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'}yS~zh_hlpp*WXzlmT8;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z Q^pfcZ32;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!}d^rmpwYeag6;28=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySoga<0<67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]amk:56<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWkce0>0:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio>7:05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goi4<4>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UiecQ?539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl\504<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goiW;?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbbR=:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio]717=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flhX=<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT<8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmP1438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\607<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beX;<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT88?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmP57f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\evtboVfjyU:]^pf`pebWqeyS<=Po7g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\evtboVfjyU:]^pf`pebWqeyS<=Po04g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[duumnUgm~zT5\]qaasdmVrd~R?;_n4f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[duumnUgm~zT5\]qaasdmVrd~R?;_n347>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]dgZgtzlmT`l}{[4_\v`brklUscQ>50]{k9699?n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]2UVxnhxmj_ymq[43Xg>90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]2UVxnhxmj_ymq[406Wqe7<3?9d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQhc^cpv`aXdhyW8SPrdfvg`Yg{U::Ra83:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQhc^cpv`aXdhyW8SPrdfvg`Yg{U:;<Qwo=2=53b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beXizxnkRbnsuY6YZtbl|inSua}_05\k05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[goi494>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{Uiec2>>418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_ckm8782;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwYeag6828=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga<5<67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amk:26<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkceS=;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn^366>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amkY5=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`dT?8<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga_571?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^`jjZ3292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwY`kV:>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{UloR?:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})pzVzexQhc^065>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZ5292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwY`kV>>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{UloR;83:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})pzVzexQhc^cpv`aXdhyW8SPrdfvg`Yg{U:<<Qwo=2=53b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY6YZtbl|inSua}_02\k3e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY6YZtbl|inSua}_7]l2a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfYf{{olSao|tZ7^[wcc}joTtb|P6^m22f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfYf{{olSao|tZ7^[wcc}joTtb|P7^m5`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZgtzlmT`l}{[4_\v`brklUscQ8_n346>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZgtzlmT`l}{[4_\v`brklUscQ71^zl8586>j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojUjkh_mcpp^3ZW{ooynkPxnp\<Zi0:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwY`kVkx~hiPl`qw_0[Xzln~ohQwos]:5Z~h494::n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQnssgd[igt|R?VSkkubg\|jtX1Ve=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%FaxvPR@O\VAYBFVL\JY?>699V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'xm{kz Mlw{[WGJW[NTICQIWGV25Zebxf~=i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%FaxvPR@O\VAYBFVL\JY?>_bgskqYNF_U;:85Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+tao~$ox|}_guepZusi}oTJ^CPFGf22==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#|iwgv,gptuWo}mxR}{aug\BVKXNOn:!D`99:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&{l|jy!lusp\br`sWz~jxhQISL]EBa7*Ag;=96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%hy|Pfvdw[vrf|lUM_@QIFe05=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"hxfu-`qwtXn~lS~zntd]EWHYANm8&Ec?:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&{l|jy!jmqvz[cqa|Vli945Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+tao~$i`~{y^dtbqYn?>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/pescr(ofcekRkbpu{\br`sWeojzd`h_dosp|Yao~TC_Q7_n5;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.elmkaXmdzuRhxfu]oadpnfnUna}zv_guepZIUW1Ud=8h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#ckwt^dtbqYt|h~n0=0:f:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!lolr3[fijx8UD^R8Po728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/bmnt5Ydgdz:SB\P6^m225=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,gjkw8Vida}?POS]5[j4182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)dgdz;Snabp0]LVZ0Xg:<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&ida}>Pcnos5ZIUW?Ud8;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#nabp1]`khv6WFXT:Ra:619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} cnos4Zehey;TC_Q9_n46f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-qehYbey~rSklPi458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)ulVzexQltq2858Xag|<h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JimnTkn>!cnwmp^3ZWNDOS??;_n]b`aY7WF__Sua}<1<25ZOI^V:<;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JimnTkn>!cnwmp^3ZWNDOS??;_n]b`aY7WF__Sua}<0<2521<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Lcg`Zad8'idyczT5\]DJAY59=UdSljk_1]LQQYg{692<?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw8582=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|d0<0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw8782=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|d0>0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw8182=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|d0809c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_0[XOGNT><:Po^cg`Z6XG\^7=38l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX1XY@FMU9=9Q`_`fg[5YH]]692;m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznuY6YZAILV8:8RaPaef\4ZIR\595:i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{atZ7^[BHCW;;?SbQnde]3[JSS4:4::n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{atZ7^[BHCW;;?SbQnde]3[JSS4=4=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[4_\CKBX:8>TcRokd^2\KPR;<7;=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[4_\CKBX:8>TcRokd^2\KPR;=7<o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczT5\]DJAY59=UdSljk_1]LQQ:268??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP04;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY7Wmzdx8:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]211=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|V8>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_277?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrX<<>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQ:599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb6484>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3?6;3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<2<>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc95>5955Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f28082?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P1458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc9V8>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\701<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R::7:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X=?h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'Dg~tRm`mq3\CKBX:;>TcRCZX^64[j71k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)Je|rTobc1^EM@Z45<VeTAXVP46]l540d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*Kj}qUhc`~>_FLG[743WfUFYUQ;7^m263e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+HkrpVida}?PGOF\672XgVG^TR:8_n3035=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,IhsWjef|<QHNE]161YhWD_SS99Po01\WR61k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)Je|rTobc1^EM@Z45<VeTAXVP46]l510d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*Kj}qUhc`~>_FLG[743WfUFYUQ;7^m213e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+HkrpVida}?PGOF\672XgVG^TR:8_n3520=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,gjkw9VMEHR<=4^m\IP^X<>Ud9l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$~lcPftno[cdXa<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'{kfSk{cl^k7b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'{kfSz|Peo]j52e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lcg`Zank9$lo= lotlw_0[XOGNT>?<Po^cg`Z6XG\^Ttb|31?323f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| M`fg[bod8'mh<#m`uovX1XY@FMU9>?Q`_`fg[5YH]]Usc2=>036e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfex1?15`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}6928o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkr;;7?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw8182i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at=7=34=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byU:]^EM@Z45:VeTmijP0^MVP979?81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7&ni;"naznuY6YZAILV89>RaPaef\4ZIR\585;<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjq]2UVMEHR<=2^m\eabX8VE^X1=1739V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}Q>QRIAD^016ZiXimnT<RAZT=1=527<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idyczT5\]DJAY5:;UdSljk_1]LQQ:36>80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uovX1XY@FMU9>?Q`_`fg[5YH]]6?2<9>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsS<WTKCJP230\kZgclV:TCXZ35?51?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dW8SPGOF\674XgVkohR>POTV?1;7212_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^36=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexR<:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|V9>56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZ2212_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^76`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><1<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><0<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><3<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><2<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><5<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><4<6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj>_17`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dSi?P14a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~Th<Q=5b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}Uo=R=:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn:S9;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;T98j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl;6928j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl;6828j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl;6?28j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl;6>28m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl;U99n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqYc:V9>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZb5W=?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4X=<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xr^rmpwYwd|y:0=0Piot6a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~xT|cz}_qnvw4:76Vcez<QFNW]312=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}yS}`{r^roqv4;97Ubb{;j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,swYwf}xT|a{|2=3=[lhq9VCEZR>;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn494?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8469<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=32:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2>2?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7=>0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn48>58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9726=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>22;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg316<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0<614`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5;22974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:66=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>14;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg320<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0?<14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa58829o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:5<7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?6083i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<34=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1<8>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6943:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;:04?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8783i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<22=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1=>>5;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`682974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:36=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>6:1?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd29>5;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6<2974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:?6=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>::1><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ?4e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV:Th}a{499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV;?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[463m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_02\`uis<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^320`=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR?>_erlp1?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ>25g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U:>Rjou6:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT=>:j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX9:Uo|bz;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW8>?i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[42Xlye845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ72<l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^36[avh|=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]221c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ>6^fskq2>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP166f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT=:Qkpnv7=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS<6;e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW82Th}a{489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV;28h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ7>Wmzdx9j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY6Wmzdx964U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY5<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^030`=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR<?_erlp1?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=15g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U9=Rjou6:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT>?:j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX:;Uo|bz;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW;9?i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[75Xlye845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ43<l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^07[avh|=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]111c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=5^fskq2>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP276f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT>;Qkpnv7=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS?9;e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW;=Th}a{489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV838h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ4?Wmzdx974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY51=o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]1=Zbwg}>o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\6Zbwg}>37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\71?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ<05g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U8<Rjou6:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT?<:j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX;8Uo|bz;d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW:Uo|bz;8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW=>o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\0Zbwg}>37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\11b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ:_erlp1><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ94e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV<Th}a{499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV=?h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[2Ycxf~?46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[=2c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP8^fskq2?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP95f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U2Si~`t938Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>3:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:687297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7=<072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<00=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9746180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6:836=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg314<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j84090;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5;<25<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2>8?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?5<8?92_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4843>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0?>1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=02:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:5:7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7>>072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<36=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9426180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`69:36=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg326<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j87>90;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa58225?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2=>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>04;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;;843=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0>071:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<5<;5>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j808?92_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4?43=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0:071:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<9<;5>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j8<8?92_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/wcoma(d{}x?h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"zbp^pbiZtcWld?i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"zbp^pbiZtcWld:?>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{kfSjPeo10?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}al]tvZci=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$A`{w_sf\vvrXizxnkRIAD^31[jYJ]QU9Sb?>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,IhsW~xT~~zParpfcZAILV;9SbQBUY]2[j76;m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$^^ZPFTNO[BCI<11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$k}{d0,dvvrXn|fg"}{_e37<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)`zz~o=#i}su]eqij)zz~Th?:7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.eqwqb6&nxxxRhzlm,qwqYc;=i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfc969<j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$bjy}dsqw*btt|'xoS}{_sgd8483k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde?6;2e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&dl{j}su,dvvr)zmUyyQ}ef]30g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+tcW{ySkh_06a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*h`{nyy hrrv-vaYu{}UyijQ=4b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,jbqul{y"j||t/uq[wusW{ol0=0;c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-mcrtczz~%k}{.vp\vvrXzlm7=3:m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.ldswbu{}$l~~z!ws]qwqYumnU;8o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#y}_sqw[wc`W89o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"|k_sqw[duumn>n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"|k_sqw[duumnULBIQ>2^m\IP^X:Ve?<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlmTh<:?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWhyyijQk22a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~Tobcm3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Uhc`l>3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,swYu{}Ujkh4d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,swYu{}Ujkh_FLG[44XgVG^TR?Po528Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+rtXzz~Tm~|jg^f205=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim({UyyQnssgd[a44k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%|~R||t^alig5c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&}yS}{_bmnf4e<]ZOYS[G\ICNF=>PNM^U_U]K9;VGB85813^OJ0<09;VGB878?3^OJ0>4?>79TAD:4601\ILYJL=2=f>QBI^OG0<4?>89TADQBD5;5:6YJB=2=2>QBJ5;5:6YJB=0=<>QBJ591<384WD@?7;?<_LH\IX2?>c9TAGQB]5;1<374WD@TAP:66k1\^DZJ_GKQWQe<_[C_IRC@DD]Bg>QUA]OTABJJ_C3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH48\VRKAK=0T^ZPGOFa?]YDG[OTECH@6:ZgfZOcn2RodR^}ilTfvvohf8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`<;Yqw7>_b{1:0SRQWLOO\[Z6XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{atZ7^[BHCW;89SbQnde]3[JSS4:4:;i5P_^ZOJHYXW8:TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-N|jtX_[U[BY\T1\]TVZVI\[Q9QRV@R^6\kZ~h494:;h5P_^ZOJHYXW8;TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-N|jtXZMU[BY\T4\]Q@ZVI\[Q>QRV@R^02[jYg5:5=:j4_^][HKKXWV;9SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW^XT\CZ][5_\SWYWF]XP9PQWOS]2[jYg5:5=:k4_^][HKKXWV;8SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW[NT\CZ][3_\VAYWF]XP?PQWOS]17ZiXpf6;2<8;;^]\\IHJWVU:8RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/Lov|ZquW{ySl}}ef]DJAY6:VeTAXVP1^m2532<WVUS@CCP_^36[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(EdsSjPrrv\evtboVMEHR?=_n]NQ]Y5Wf;:;o5P_^ZOJHYXW8UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczT5\]DJAY59=UdSljk_1]LQQ:4682:7RQPXMLN[ZY5WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXojUjkh_mcpp^3ZW{ooynkPxnp\507Xpf6;2<6?;^]\\IHJWVU8SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQnssgd[igt|R?VSkkubg\|jtX08Usc1>11658[ZY_DGGTSR:P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&{l|jy!lusp\br`sWz~jxhQISL]EBa7*Ag;=56QP_YNMIZYX=VUTY=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'[KFS_KHOTV\AK7?=2UTSUBAM^]\2ZYX]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Lcg`Zad8'idyczT5\]DJAY59=UdSljk_1]LQQYg{692<?8c:]\[]JIEVUT;RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmParpfcZjf{}Q>QR|jdtaf[}iuW89Tc<9m;^]\\IHJWVU3SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQnssgd[igt|R?VSkkubg\|jtX>Ve::h5P_^ZOJHYXW0UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&ida}>Pcnos5ZIUW?Ud?<64bmi\i`kXoldTkh`jrha\gvru9?1i`fQbel]dakYwz`gT{dj{h0`8fimXelgTkh`Ppskn[rtfxyoyS~8i;cnh[hcjWnoeSya159ahnYjmdUlicQy7^3\|vr6;2hggRcjm^kmtprXjeehg<:4bmi\i`kXg|~{yyQmlnahf>ekcVrT|cz}s69`khvfzll0ox|}_guepZusi}o87ijb6:fjj969?2nbb1??>69gmk:697=0hd`313<4?aoi4895;6jfn=37:2=cag6:9394dhl?53803mce0<917:fjj97?6>1oec2>9?48`lh;97=0hd`321<4?aoi4;;5;6jfn=01:2=cag69?394dhl?61803mce0?;17:fjj9416>1oec2=7?58`lh;:14<7iga<3;=2>bnf585;6jfn=13:<=cag68=7>17:fjj9566?1oec2<>79gmk:36?1oec2:>79gmk:16?1oec28>79gmk:?6?1oec26>69gkpr;8720hb{{<02=<>bh}}6:=364dnww844902ndyy2>3?:8`jss48>546j`uu>21;><lf0<818:flqq:6?720hb{{<0:=<>bh}}6:5394dnww848?3me~x1<?>99gkpr;:8437iazt=01:==cg|~7>>07;emvp943611ocxz324<;?air|58=255kotv?628?3me~x1<7>99gkpr;:04<7iazt=0=<>bh}}68<3o4dnww867=8720hb{{<23=3>bh}}682:5kotv?0;1<lf0808;emvp909?2ndyy28>69gkpr;07=0hb{{<8<6?`kw|p;=7kgio^efj`tf|fxT~lciigm26>`nnfUlick}aumq[qiw9:1mekaPgdlfvdrhzV|2S><i;gkekZabflxjxb|Pv8]0(%^nd`ds,NA]E!Efj`tf|fx+=9 8169emciXoldn~lz`r^t:[6Y{}>0jxbc9:kmtprXjea=7`kbos{;?kadj|cgh?5ao`9lqqvr|Vhgg>?4r`ookjv\8T$ym` }/r4\jjoia}$ym`!\DQ,TMACXX[CF5#|nmc9q`ZdnfViex84re]`hn3<zmUnb:5}d^dtbq><zmUgcjgl139q`Zusi}xSkbngr]bw47<zlynxRlck^pbicoag:1yyh4ry]`ldhimeUmeka>0c38v}Yd`hdeiaQiigm/bl`hWnoeio{os]u=Z5+sjUjhiQagb]`kkuszhcex0>#c^cstiodi}cdbRzvpd]b|lu:8%iTm}~cibcwmjhX|pznSolh<2/gZgwxechmyg`n^vzt`Yuljb6<!mPaqromfgsafdTxt~j_vc`l86+kVk{|aglaukljZr~xlU|hnf20-a\euvkajkeb`Ptxrf[rtd`4:'oRowi^cg`wYpam~c1><#c^c{mZgu}zoySzgkti?2(fYfp`Uj{x}jr^uj`qn:9%iTmugPbtqfvZqnl}b6=!mPayk\`drfW~coxe394-a\e}oXamU|eizg=5.`[d~nW{xiQxievk94*dWhrbSywe<2/gZgaV}~h|Pwhfwl87+kVkse~Q{d`vb[roc|a7=8!mPaykp[qbb{}U|eizg=5.`[d~n{V~bhRyfduj><)eXiqcxSyejeq]tmaro5=&hSlvfs^vppwdX`nd0:#c^c{mvYs}zoySzgkti?7(fYfp`yTxt~j=1.`[fijefdToaalk<2/gZeh}g~Txt~j=1.`[agsiVidyczPwhfwl80+kVnnjl{ct^tbhpc:Jekeg^z}ioe/gZbnfV}bhyf233.`[aoiW~coxeQnxhq>5)eXl`dT{dj{h^pbgm;4:%iThd`PwhfwlZtcka7=8!mPdhl\slbs`V}joe3<2-a\`lhX`ndRykci?50)eXl`dT{dj{h^uqgm;5$jUocxzPrrv\rdj:8%iThb{{_vkgpm;4:%iTicomld]qhjet59&hSh`nbmg\vvrX{pdh1<"l_dpqkwYnfcohxdaa_u{sa86+kVoy~b|PiohfgqohfV~r|hQnxhq>4)eXm{xd~RgajdawmjhX|pznSolh<2/gZcuzfxTecdjcukljZr~xlUyhnf20-a\awthzVcefhm{inl\p|vbW~khd0>#c^gqvjtXag`noyg`n^vzt`Ypljb6<!mPesplvZoiblieb`Ptxrf[rtd`4:'oRhnmhnz9vvfz}ke:!mPftno[cjfozUyyQyam?2(fYoizUj``a|t^gntq:8%iTdl}PamolwqYa}ef6<!mPh`q\e}oXi{xi3?,b]kevYfp`Uj{x}jr<2/gZnf{VkseRlzsdp>4)eX`hyTmugPre]`ldhime7; nQgar]b|lYu}zoy1="l_icp[d~nW~xToeoandn>4)eX`hyTmugPwtqfv86+kVbjRowir]w`drf59&hSeo|_`zjwZrcmz~6<!mPh`q\e}otW}co1="l_icp[d~n{V~`ih~20-a\lduXiqcxSybnsu?3(fYoizUjtd}Ptsgb`|;6$jUcm~Qnxhq\pvruj4:'oRfns^c{mvYs}zoy1="l_icp[ggdc|z6<!mPh`q\`drfWje~by3?,b]kevYci}kTob{atr]b|lu:8%iTdl}Pd`vb[firf}yT~lmg=1.`[mgtWmkmRm`uovp[wbd`4:'oRfns^fbpdYdg|dRynci?3(fYoizUomyoPcnwmpvYpljb6<!mPh`q\`drfWje~by}Pwsak95*dWakxSd`{_bnh95*dWakxS`o|tdp\g`;7$jUcm~QbelkmmqYaaeo6<!mPh`q\kscunee|1="l_icp[uthoVlgmj}Paykp95*dWakxS}|`g^doebuXzhic1="l_icp[uthoVlgmj}Preak95*dWakxS}|`g^doebuXhic1="l_icp[uthoVlgmj}Pweak95*dWakxS}|`g^doebuX{ic1="l_icp[wbXlh~jSnaznu?3(fYoizUyhR||t<2/gZnf{Vxxx0?#c^jbwZukioToh3?,b]kevYtzz~6<!mPh`q\qkbbzofd{0>#c^jbwZpfd`n6<!mPh`q\swYfkb7; nQgar]tvZbf|hUhcx`{=1.`[mgtW~xT~~z20-a\mhvkmdoexlzfoo]w}uc::%iTe`~celgmpdrnggUu}kPaykp94*dW`g{`hcjnucwmjhX|pznSolh<3/gZojxeoficznthmm[qwmVxooe3>,b]jiujbeldmyg`n^vzt`Ypijb6=!mPilroahci|h~bccQ{yqg\saeo58&hSdcldofjqgsafdTxt~j_vp`l87+kVceeyQxr^rmpwYqie7; nQfnugqbdebW}s{i0>#c^ofijt~W}s{i0?#c^ojbZ`ndlUem`k2BmcmoVruagm'oRc|gnl\rdj:9%iTc}zfmhxbpliiWdeoi0>#c^muaw`kg~Ugcz3?,b]sv`jhimUgmykacx?3(fYwzlfdmiQ}efq>5)eXx{cfSkgio^vzt`;29;r8:!mPpsmd[`kw|pUdk|h^cpw`tsWkg19"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`Rowir?2474+kVzycjQjmqvz[qnumzbTm~}jru]ueiYuijb6==<=,b]svjaXmdzuRzgrdqk[dutm{~TzlbPreak9465:%iT|ah_dosp|Ys`{oxdRo|sdpw[sgkW~khd0??23.`[uthoVof|ywPtipfwmYf{zoyxRxnl^uggm;68;8'oR~}of]fiur~W}byi~fParqfvqYqieU|~nf21101(fYwzfmTi`~{y^vkv`uoWgolmykPv`n>1)eXx{elShctx]w}uc:8%iT|ah_dosp|YsqyoTmug|=1.`[uthoVof|ywPtxrf[wgd`4:'oR~}of]fiur~W}s{iR|kci?3(fYwzfmTi`~{y^vzt`Ypijb6<!mPpsmd[`kw|pUu}kPweak95*dWyxdkRkbpu{\p|vbW~xhd0>#c^rqkbYa}efTxe|jsi]bwvcu|V|j`08<,b]svjaXn|fgSyf}erj\evubz}U}maQnxhq>5544$jU{~biPftno[qnumzbTm~}jru]ueiYuijb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQ}dbj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpijb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxdbj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpzjb6==<<,b]svjaXn|fgSyf}erj\j`af|lU}ma392-a\twi`Wog`Rzvpd?3(fYwzfmTjxbc_u{saZgaz7; nQrne\bpjkW}s{iR|nci?3(fYwzfmTjxbc_u{saZtcka7; nQrne\bpjkW}s{iRynci?3(fYwzfmTjxbc_u{saZqcka7; nQrne\bpjkW}s{iRy}ci?3(fYuijbTxt~j=1.`[wbXlh~jSnaznu]tmaro5?&hSjPddrwl803$jUyhRh}ep?2(fYulVzexQxievk93*dW{nhdRzvpd?3(fYumnUx`dmj_lmgaZgaz7; nQ}ef]phlebWdeoiR|nci?3(fYumnUx`dmj_lmgaZtcka7; nQ}ef]phlebWdeoiRynci?3(fYumnUx`dmj_lmgaZqcka7; nQ}ef]phlebWdeoiRy}ci?3(fYtqgic~b`fzdp\wqg`m48'oR{addpehjqXdf}6<!mPurg\gjkjggUdzh|ilnu>4)eX}zoTobcboo]vjacunee|1="l_tqf[acai|fS~k{thldw86+kVxiRj`uu]qwq;6$jU~hQjcb?3(fYr{lUnonQnxhq>4)eX}zoTinmPr`ak95*dW|ynShml_sf`l86+kVxiRklc^ubgm;7$jU~hQjcb]t`fn:8%iTy~kPeba\sweo59&hSx}j_doaaabblVxnk0>#c^wpaZ`nnf;=Skbngr?3(fYr{lUm{kzPd`vb[firf}7; nQyamkg[iip59&hSzolh^vzt`;7$jU|hnfPtxrf95*dW~xTmnePlnu>4)eX{UomyoPcnwmpZqnl}b6:!mPws]gauro5?>'oRy}_egspmYfp`y6==<;,b]tvZbbx}bT~lmg=04/gZquWmo{xeQ}dbj>5543$jU|~Rjjpuj\sdeo58<'oRy}_egspmYpljb6==<;,b]tvZbbx}bT{mg=04/gZquWoxn}0?#c^uq[uhszV}bhyf26-a\swYwf}xT{dj{h^c{mv;68%iT{Qnup\slbs`Vxjoe3;,b]tvZvi|{U|eizg_sf`l877$jU|~R~ats]tmaroW~khd0:#c^uq[uhszV}bhyfPweak946+kV}yS}`{r^uj`qnX{ic19"l_vp\v`uwggynSao{eoaz94*dW~xhdRzvpd?3y70<zqUhdl`aem]emci+n`ldSjkaescwkwYq1V9'wph4sxl`lwiiasoySkhm;vp\flhXkg~y:6y}_bnh1>quWld37z|Plnejg44<{Uxxlzzs^doebuXizwKL}6nf:BC|16a2O0?6<u\8680=g<6j10:?>;;4082a74dsg9257?4n2;b>3=#;0=1?4=4}R:6>6?e28h36<=<5562>4c5;k1X=l9541194?74;<>?=7?j2508W=3=<991<7?<34675?7b:=90h9>i:182>4}T0>085o4>b9827633<80:i?=6;wV2``<7280:6<<?{R:4>6?e28h36<=<5562>4c5;01/?:h51`;8R6??2;q~=o>51:w2f4<73t.:n44=e:`74c<72==1ho4>1gyK72c<,8o?69>i;[4b>7}6k3;m6p*<9780b`=#>l0?=<5+878755=#9mi1=6*>dc80=f=n;m;1<7*>bc80`5=i9kk1<65f3bd94?"6jk08h=5a1cc95>=n;jn1<7*>bc80`5=i9kk1>65f3ba94?"6jk08h=5a1cc97>=n;jh1<7*>bc80`5=i9kk1865f3bc94?"6jk08h=5a1cc91>=n;j31<7*>bc80`5=i9kk1:65f3b:94?"6jk08h=5a1cc93>=n;j=1<7*>bc80`5=i9kk1465f3b494?"6jk08h=5a1cc9=>=n;j?1<7*>bc80`5=i9kk1m65f3b694?"6jk08h=5a1cc9f>=n;j81<7*>bc80`5=i9kk1o65f3b394?"6jk08h=5a1cc9`>=n;j:1<7*>bc80`5=i9kk1i65f3cd94?"6jk08h=5a1cc9b>=n;ko1<7*>bc80`5=i9kk1==54i2`g>5<#9kh1?i>4n0`b>47<3`9io7>5$0`a>6b73g;im7?=;:k0fg<72-;in7=k0:l2fd<6;21b?oo50;&2fg<4l91e=oo51598m6d>290/=ol53e28j4df28?07d=k8;29 4de2:n;7c?ma;35?>o4l>0;6)?mb;1g4>h6jh0:;65f3e494?"6jk08h=5a1cc95==<a:n>6=4+1c`97a6<f8hj6<74;h1g0?6=,8hi6>j?;o3ae?7f32c8h>4?:%3af?5c82d:nl4>b:9j7a4=83.:no4<d19m5gg=9j10e>mj:18'5gd=;m:0b<ln:0f8?l5d;3:1(<lm:2f3?k7ei3;n76g<b983>!7ej39o<6`>b`82b>=n<9o1<75f40694?=n<921<75f40794?=n<931<75`3g094?"6jk08j<5a1cc94>=h;o:1<7*>bc80b4=i9kk1=65`3dg94?"6jk08j<5a1cc96>=h;ln1<7*>bc80b4=i9kk1?65`3da94?"6jk08j<5a1cc90>=h;lh1<7*>bc80b4=i9kk1965`3dc94?"6jk08j<5a1cc92>=h;l31<7*>bc80b4=i9kk1;65`3d:94?"6jk08j<5a1cc9<>=h;l=1<7*>bc80b4=i9kk1565`3d494?"6jk08j<5a1cc9e>=h;l?1<7*>bc80b4=i9kk1n65`3d194?"6jk08j<5a1cc9g>=h;l81<7*>bc80b4=i9kk1h65`3d394?"6jk08j<5a1cc9a>=h;l:1<7*>bc80b4=i9kk1j65`3ed94?"6jk08j<5a1cc955=<g:nn6=4+1c`97c7<f8hj6<?4;n1g`?6=,8hi6>h>;o3ae?7532e8hn4?:%3af?5a92d:nl4>3:9l7ad=83.:no4<f09m5gg=9=10c>jn:18'5gd=;o;0b<ln:078?j5a13:1(<lm:2d2?k7ei3;=76a<f983>!7ej39m=6`>b`823>=h;o=1<7*>bc80b4=i9kk1=554o2d5>5<#9kh1?k?4n0`b>4?<3f9m97>5$0`a>6`63g;im7?n;:m0b1<72-;in7=i1:l2fd<6j21d?k=50;&2fg<4n81e=oo51b98k6ca290/=ol53g38j4df28n07b=j4;29 4de2:l:7c?ma;3f?>i4l00;6)?mb;1e5>h6jh0:j65`41294?=h;ok1<75m38794?7=83:p(<k;:057?M5><2B8;h5`16194?=zj;2:6=4;:183!7b<3;=j6F<959K72c<,82;6:5f6c83>>o?03:17d?md;29?j7en3:17pl=ag83>1<729q/=h:517d8L6?33A9<i6*>8184?l0e2900e5650;9j5gb=831d=oh50;9~f7gb290?6=4?{%3f0?71n2B8595G36g8 4>72>1b:o4?::k;<?6=3`;ih7>5;n3ab?6=3th8:94?:583>5}#9l>1=;j4H2;7?M50m2.:4=4<;h4a>5<<a1>1<75f8983>>i6jo0;66sm36094?2=83:p(<k;:04e?M5><2B8;h5G669'5d`=9l90e;l50;9j<=<722c:ni4?::m2fc<722wi?:650;694?6|,8o?6<8i;I1:0>N4?l1C::5+1`d95`5<a?h1<75f8983>>o6jm0;66a>bg83>>{e;?=1<7:50;2x 4c328<m7E=64:J03`=O>>1/=lh51d18 4>72>1b:o4?::k;<?6=3`;ih7>5;n3ab?6=3th8;84?:283>5}#9l>1=;m4H2;7?M50m2B=;6*>ag82a6=#91:1?6g9b;29?l>?2900c<li:188yg50j3:1?7>50z&2a1<6>j1C?4:4H25f?M003-;jj7?j3:&2<5<43`<i6=44i9:94?=h9kl1<75rb245>5<3290;w)?j4;35b>N41=1C?:k4H758 4ga28o87)?70;58m3d=831b454?::k2fa<722e:nk4?::a73b=83>1<7>t$0g7>40a3A9286F<7d9'5=6=?2c=n7>5;h:;>5<<a8ho6=44o0`e>5<<uk9=o7>54;294~"6m=0::k5G3868L61b3-;3<794i7`94?=n010;66g>be83>>i6jo0;66sm37`94?2=83:p(<k;:04e?M5><2B8;h5+19293>o1j3:17d67:188m4dc2900c<li:188yg50<3:1?7>50z&2a1<6>j1C?4:4H25f?M003-;jj7?j3:&2<5<43`<i6=44i9:94?=h9kl1<75rb252>5<3290;w)?j4;35b>N41=1C?:k4$0:3>2=n>k0;66g78;29?l7el3:17b?mf;29?xd4?90;694?:1y'5`2=9?l0D>7;;I14a>"6090<7d8m:188m=>=831b=oj50;9l5g`=831vn>9n:180>5<7s-;n87?9c:J0=1=O;>o0D;94$0ce>4c43-;3<7=4i7`94?=n010;66a>bg83>>{e;??1<7:50;2x 4c328<m7E=64:J03`=O>>1/=lh51d18 4>72>1b:o4?::k;<?6=3`;ih7>5;n3ab?6=3th8>h4?:483>5}#9l>1=:?4H2;7?M50m2.:4=4<;h4a>5<<a?n1<75f8983>>o6k90;66a>bg83>>{e;;i1<7;50;2x 4c328=:7E=64:J03`=#91:1?6g9b;29?l0c2900e5650;9j5f6=831d=oh50;9~f64?290>6=4?{%3f0?7092B8595G36g8 4>72:1b:o4?::k5`?6=3`236=44i0a3>5<<g8hm6=44}c112?6==3:1<v*>e58234=O;0>0D>9j;%3;4?5<a?h1<75f6e83>>o?03:17d?l0;29?j7en3:17pl<2583>0<729q/=h:51638L6?33A9<i6*>8180?l0e2900e;j50;9j<=<722c:o=4?::m2fc<722wi??<50;794?6|,8o?6<9>;I1:0>N4?l1/=5>53:k5f?6=3`<o6=44i9:94?=n9j:1<75`1cd94?=zj:8;6=4::183!7b<3;<=6F<959K72c<,82;6>5f6c83>>o1l3:17d67:188m4e72900c<li:188yg56m3:197>50z&2a1<6?81C?4:4H25f?!7?8390e;l50;9j2a<722c347>5;h3`4?6=3f;ij7>5;|`05f<72<0;6=u+1d69527<@:3?7E=8e:&2<5<43`<i6=44i7f94?=n010;66g>c183>>i6jo0;66sm30c94?3=83:p(<k;:052?M5><2B8;h5+19297>o1j3:17d8k:188m=>=831b=n>50;9l5g`=831vn>?7:186>5<7s-;n87?81:J0=1=O;>o0(<6?:29j2g<722c=h7>5;h:;>5<<a8i;6=44o0`e>5<<uk9::7>55;294~"6m=0:;<5G3868L61b3-;3<7=4i7`94?=n>m0;66g78;29?l7d83:17b?mf;29?xd49;0;684?:1y'5`2=9>;0D>7;;I14a>"609087d8m:188m3b=831b454?::k2g5<722e:nk4?::a746=83?1<7>t$0g7>4163A9286F<7d9'5=6=;2c=n7>5;h4g>5<<a121<75f1b294?=h9kl1<75rb22f>5<2290;w)?j4;345>N41=1C?:k4$0:3>6=n>k0;66g9d;29?l>?2900e<m?:188k4da2900qo=?c;291?6=8r.:i94>709K7<2<@:=n7)?70;18m3d=831b:i4?::k;<?6=3`;h<7>5;n3ab?6=3th8<l4?:483>5}#9l>1=:?4H2;7?M50m2.:4=4<;h4a>5<<a?n1<75f8983>>o6k90;66a>bg83>>{e;921<7;50;2x 4c328=:7E=64:J03`=#91:1?6g9b;29?l0c2900e5650;9j5f6=831d=oh50;9~f661290>6=4?{%3f0?7092B8595G36g8 4>72:1b:o4?::k5`?6=3`236=44i0a3>5<<g8hm6=44}c130?6==3:1<v*>e58234=O;0>0D>9j;%3;4?5<a?h1<75f6e83>>o?03:17d?l0;29?j7en3:17pl<0383>0<729q/=h:51638L6?33A9<i6*>8180?l0e2900e;j50;9j<=<722c:o=4?::m2fc<722wi?=>50;794?6|,8o?6<9>;I1:0>N4?l1/=5>53:k5f?6=3`<o6=44i9:94?=n9j:1<75`1cd94?=zj:9i6=4::183!7b<3;<=6F<959K72c<,82;6>5f6c83>>o1l3:17d67:188m4e72900c<li:188yg5413:197>50z&2a1<6?81C?4:4H25f?!7?8390e;l50;9j2a<722c347>5;h3`4?6=3f;ij7>5;|`072<72<0;6=u+1d69527<@:3?7E=8e:&2<5<43`<i6=44i7f94?=n010;66g>c183>>i6jo0;66sm32794?3=83:p(<k;:052?M5><2B8;h5+19297>o1j3:17d8k:188m=>=831b=n>50;9l5g`=831vn>=<:186>5<7s-;n87?81:J0=1=O;>o0(<6?:29j2g<722c=h7>5;h:;>5<<a8i;6=44o0`e>5<<uk98=7>55;294~"6m=0:;<5G3868L61b3-;3<7=4i7`94?=n>m0;66g78;29?l7d83:17b?mf;29?xd4:k0;684?:1y'5`2=9>;0D>7;;I14a>"609087d8m:188m3b=831b454?::k2g5<722e:nk4?::a743=83?1<7>t$0g7>4163A9286F<7d9'5=6=;2c=n7>5;h4g>5<<a121<75f1b294?=h9kl1<75rb3de>5<2290;w)?j4;345>N41=1C?:k4$0:3>6=n>k0;66g9d;29?l>?2900e<m?:188k4da2900qo<id;291?6=8r.:i94>709K7<2<@:=n7)?70;18m3d=831b:i4?::k;<?6=3`;h<7>5;n3ab?6=3th89i4?:`496?34sA9<i6*>e580ea=]>h0ow<>5e;02>7>=9h0:=7h52381=?7e28n1=h4=a;'2<<53`;o>7>5$0`a>4b63g;im7>4;h3`g?6=,8hi6<mm;o3ae?6<3`9387>5$0`a>6>43g;im7>4;h1;6?6=,8hi6>6<;o3ae?7<3`93=7>5$0`a>6>43g;im7<4;h1;4?6=,8hi6>6<;o3ae?5<3`>:6=4+1c`905=i9kk1<65f3g83>!7ej3>;7c?ma;38?l5b290/=ol5419m5gg=:21b?i4?:%3af?273g;im7=4;h1`>5<#9kh18=5a1cc90>=n;k0;6)?mb;63?k7ei3?07dm7:18'5gd=k>1e=oo50:9jg3<72-;in7m8;o3ae?7<3`i?6=4+1c`9g2=i9kk1>65fc283>!7ej3i<7c?ma;18?le5290/=ol5c69m5gg=<21bo<4?:%3af?e03g;im7;4;ha3>5<#9kh1o:5a1cc92>=njo0;6)?mb;a4?k7ei3=07dlj:18'5gd=k>1e=oo58:9jfa<72-;in7m8;o3ae??<3`hh6=4+1c`9g2=i9kk1m65fbc83>!7ej3i<7c?ma;`8?ld>290/=ol5c69m5gg=k21bn54?:%3af?e03g;im7j4;h`4>5<#9kh1o:5a1cc9a>=nj?0;6)?mb;a4?k7ei3l07dl::18'5gd=k>1e=oo51198mg2=83.:no4l7:l2fd<6921bn>4?:%3af?e03g;im7?=;:ka6?6=,8hi6n94n0`b>45<3`h:6=4+1c`9g2=i9kk1=954ic294?"6jk0h;6`>b`821>=nko0;6)?mb;a4?k7ei3;=76gle;29 4de2j=0b<ln:058?lec290/=ol5c69m5gg=9110enm50;&2fg<d?2d:nl4>9:9jgg<72-;in7m8;o3ae?7f32chm7>5$0`a>f1<f8hj6<l4;ha:>5<#9kh1o:5a1cc95f=<aj?1<7*>bc8`3>h6jh0:h65fb`83>!7ej3i<7c?ma;3f?>ofn3:1(<lm:b58j4df28l07d?k4;29 4de28n87c?ma;28?l7dm3:1(<lm:0ag?k7ei3:07d=7c;29 4de2:2i7c?ma;28?l5?i3:1(<lm:2:a?k7ei3;07d=79;29 4de2:2i7c?ma;08?l5?03:1(<lm:2:a?k7ei3907d;9:18'5gd==<1e=oo50:9j11<72-;in7;:;o3ae?7<3`?86=4+1c`910=i9kk1>65f5383>!7ej3?>7c?ma;18?l36290/=ol5549m5gg=<21b9=4?:%3af?323g;im7;4;hg:>5<#9kh1i55a1cc94>=nm>0;6)?mb;g;?k7ei3;07dk::18'5gd=m11e=oo52:9ja1<72-;in7k7;o3ae?5<3`o86=4+1c`9a==i9kk1865fe383>!7ej3o37c?ma;78?lc6290/=ol5e99m5gg=>21bi=4?:%3af?c?3g;im794;hfe>5<#9kh1i55a1cc9<>=nll0;6)?mb;g;?k7ei3307djk:18'5gd=m11e=oo5a:9j`f<72-;in7k7;o3ae?d<3`nj6=4+1c`9a==i9kk1o65fd883>!7ej3o37c?ma;f8?lb?290/=ol5e99m5gg=m21bh:4?:%3af?c?3g;im7h4;hf5>5<#9kh1i55a1cc955=<am?1<7*>bc8f<>h6jh0:=65fd583>!7ej3o37c?ma;31?>oc;3:1(<lm:d:8j4df28907dj=:18'5gd=m11e=oo51598ma7=83.:no4j8:l2fd<6=21bj=4?:%3af?c?3g;im7?9;:kfb?6=,8hi6h64n0`b>41<3`on6=4+1c`9a==i9kk1=554idf94?"6jk0n46`>b`82=>=nmj0;6)?mb;g;?k7ei3;j76gjb;29 4de2l20b<ln:0`8?lcf290/=ol5e99m5gg=9j10eh850;&2fg<b02d:nl4>d:9j`g<72-;in7k7;o3ae?7b32co<7>5$0`a>`><f8hj6<h4;h56>5<<a8<?6=44i6`94?=n9?<1<75f1`794?=n9h>1<75`12c94?"6jk0:?45a1cc94>=h9:21<7*>bc827<=i9kk1=65`12494?"6jk0:?45a1cc96>=h9:?1<7*>bc827<=i9kk1?65`12694?"6jk0:?45a1cc90>=h9:91<7*>bc827<=i9kk1965`12094?"6jk0:?45a1cc92>=h9:;1<7*>bc827<=i9kk1;65`12294?"6jk0:?45a1cc9<>=h9;l1<7*>bc827<=i9kk1565`13g94?"6jk0:?45a1cc9e>=h9;n1<7*>bc827<=i9kk1n65`13`94?"6jk0:?45a1cc9g>=h9;k1<7*>bc827<=i9kk1h65`13;94?"6jk0:?45a1cc9a>=h9;21<7*>bc827<=i9kk1j65`13594?"6jk0:?45a1cc955=<g88=6=4+1c`956?<f8hj6<?4;n311?6=,8hi6<=6;o3ae?7532e:>94?:%3af?7412d:nl4>3:9l575=83.:no4>389m5gg=9=10c<<=:18'5gd=9:30b<ln:078?j7393:1(<lm:01:?k7ei3;=76a>4183>!7ej3;856`>b`823>=h9:l1<7*>bc827<=i9kk1=554o01f>5<#9kh1=>74n0`b>4?<3f;8h7>5$0`a>45>3g;im7?n;:m27f<72-;in7?<9:l2fd<6j21d=>l50;&2fg<6;01e=oo51b98k450290/=ol512;8j4df28n07b?=c;29 4de28927c?ma;3f?>i6:80;6)?mb;30=>h6jh0:j65`14`94?"6jk0:9l5a1cc94>=h9<31<7*>bc821d=i9kk1=65`14594?"6jk0:9l5a1cc96>=h9<<1<7*>bc821d=i9kk1?65`14794?"6jk0:9l5a1cc90>=h9<>1<7*>bc821d=i9kk1965`14194?"6jk0:9l5a1cc92>=h9<81<7*>bc821d=i9kk1;65`14394?"6jk0:9l5a1cc9<>=h9<:1<7*>bc821d=i9kk1565`15d94?"6jk0:9l5a1cc9e>=h9=o1<7*>bc821d=i9kk1n65`15a94?"6jk0:9l5a1cc9g>=h9=h1<7*>bc821d=i9kk1h65`15c94?"6jk0:9l5a1cc9a>=h9=31<7*>bc821d=i9kk1j65`15:94?"6jk0:9l5a1cc955=<g8><6=4+1c`950g<f8hj6<?4;n372?6=,8hi6<;n;o3ae?7532e:884?:%3af?72i2d:nl4>3:9l512=83.:no4>5`9m5gg=9=10c<:<:18'5gd=9<k0b<ln:078?j71:3:1(<lm:07b?k7ei3;=76a>6083>!7ej3;>m6`>b`823>=h9?:1<7*>bc821d=i9kk1=554o07e>5<#9kh1=8o4n0`b>4?<3f;>i7>5$0`a>43f3g;im7?n;:m21a<72-;in7?:a:l2fd<6j21d=8m50;&2fg<6=h1e=oo51b98k43?290/=ol514c8j4df28n07b?;d;29 4de28?j7c?ma;3f?>i6<;0;6)?mb;36e>h6jh0:j65`1b;94?"6jk0:o55a1cc94>=h9j=1<7*>bc82g==i9kk1=65`1b494?"6jk0:o55a1cc96>=h9j?1<7*>bc82g==i9kk1?65`1b694?"6jk0:o55a1cc90>=h9j91<7*>bc82g==i9kk1965`1e;94?=h0k0;66l<9483>4<729q/=h:51668L6?33A9<i6a>7283>>{e<9<1<78j:183!7b<3;h=6F<959K72c<R?k1ov659;3;>4?=9<0:87?9:059g?d=i3n1q)?m7;630>h?138?7c6n:368j46?291e=<o50:&22=<6m:1/=;751d18 41d2<1/=:j55:&23`<23-;<j7;4$0:2>0=#918196*>8286?!7?<3?0(<6::49'5=0==2.:4:4:;%3;<?3<,822685+19c91>"60k0>7)?7c;78 4>c2<1/=5k55:&2<c<23-;2<7;4$0;2>0=#908196*>9286?!7><3?0(<7::49'5<0==2.:5:4:;%3:<?3<,832685+18c91>"61k0>7)?6c;78 4?c2<1/=4k55:&2=c<23-;j<7;4$0c2>1=#9h8186*>a282f0=#9j81=io4$0f5>4c53-;o;78k;%3g<?0c3-;oh789;%3gb?2<,8o;695+38397<6<,:396>7?;h3g1?6=3`;=;7>5;h3`e?6=3`;=97>5;h5b>5<<a>n1<75f1bd94?=n9m:1<75f39594?"6jk084;5a1cc94>=n;1?1<7*>bc80<3=i9kk1=65`fd83>!7ej3lo7c?ma;28?j`d290/=ol5fe9m5gg=921djo4?:%3af?`c3g;im7<4;ndb>5<#9kh1ji5a1cc97>=hn00;6)?mb;dg?k7ei3>07bh7:18'5gd=nm1e=oo55:9l551=83.:no4id:l2fd<132e:<;4?:%3af?`c3g;im794;n331?6=,8hi6kj4n0`b>==<g8:?6=4+1c`9ba=i9kk1565`11194?"6jk0mh6`>b`8b?>i68;0;6)?mb;dg?k7ei3h07b??1;29 4de2on0b<ln:b98k467290/=ol5fe9m5gg=l21djk4?:%3af?`c3g;im7k4;nd4>5<#9kh1ji5a1cc9b>=h98n1<7*>bc825f=i9kk1<65`10`94?"6jk0:=n5a1cc95>=h9;:1<7*>bc825c=i9kk1<65`10g94?"6jk0:=k5a1cc95>=n;1l1<7*>bc80<`=i9kk1<65f39f94?"6jk084h5a1cc95>=n<?0;6)?mb;66?k7ei3:07d:;:18'5gd=<<1e=oo51:9j06<72-;in7::;o3ae?4<3`>m6=4+1c`900=i9kk1?65f4d83>!7ej3>>7c?ma;68?l2c290/=ol5449m5gg==21b8n4?:%3af?223g;im784;h6a>5<#9kh1885a1cc93>=n<h0;6)?mb;66?k7ei3207d:6:18'5gd=<<1e=oo59:9j0=<72-;in7::;o3ae?g<3`><6=4+1c`900=i9kk1n65f4383>!7ej3>>7c?ma;a8?l`1290/=ol5f49m5gg=821bj94?:%3af?`23g;im7?4;hc6>5<#9kh1m95a1cc94>=ni:0;6)?mb;c7?k7ei3;07do=:18'5gd=i=1e=oo52:9je4<72-;in7o;;o3ae?5<3`k;6=4+1c`9e1=i9kk1865f9g83>!7ej3k?7c?ma;78?lgb290/=ol5a59m5gg=>21bmi4?:%3af?g33g;im794;hc`>5<#9kh1m95a1cc9<>=nik0;6)?mb;c7?k7ei3307don:18'5gd=i=1e=oo5a:9je<<72-;in7o;;o3ae?d<3`k36=4+1c`9e1=i9kk1o65fa683>!7ej3k?7c?ma;f8?lg1290/=ol5a59m5gg=m21b5h4?:%3af?g33g;im7h4;h;7>5<#9kh15>5a1cc94>=n1;0;6)?mb;;0?k7ei3;07d7>:18'5gd=1:1e=oo52:9j=5<72-;in77<;o3ae?5<3`2m6=4+1c`9=6=i9kk1865f8d83>!7ej3387c?ma;78?l?c290/=ol5929m5gg=>21b5n4?:%3af??43g;im794;h;a>5<#9kh15>5a1cc9<>=n1h0;6)?mb;;0?k7ei3307d76:18'5gd=1:1e=oo5a:9j==<72-;in77<;o3ae?d<3`3<6=4+1c`9=6=i9kk1o65f9783>!7ej3387c?ma;f8?l?2290/=ol5929m5gg=m21b4i4?:%3af??43g;im7h4;h7a>5<#9kh19l5a1cc94>=n=00;6)?mb;7b?k7ei3;07d;7:18'5gd==h1e=oo52:9j21<72-;in7;n;o3ae?5<3`<86=4+1c`91d=i9kk1865f6383>!7ej3?j7c?ma;78?l06290/=ol55`9m5gg=>21b:=4?:%3af?3f3g;im794;h7e>5<#9kh19l5a1cc9<>=n=l0;6)?mb;7b?k7ei3307d;k:18'5gd==h1e=oo5a:9j1f<72-;in7;n;o3ae?d<3`?<6=4+1c`91d=i9kk1o65`10294?"6jk0:<k5a1cc94>=h99o1<7*>bc824c=i9kk1=65`11f94?"6jk0:<k5a1cc96>=h99i1<7*>bc824c=i9kk1?65`11`94?"6jk0:<k5a1cc90>=h99k1<7*>bc824c=i9kk1965`10;94?"6jk0:<k5a1cc92>=h9821<7*>bc824c=i9kk1;65`10594?"6jk0:<k5a1cc9<>=h98<1<7*>bc824c=i9kk1565`10794?"6jk0:<k5a1cc9e>=h98>1<7*>bc824c=i9kk1n65`10194?"6jk0:<k5a1cc9g>=h9881<7*>bc824c=i9kk1h65`10394?"6jk0:<k5a1cc9a>=h9931<7*>bc824c=i9kk1j65ff283>!7ej3l97c?ma;28?l`6290/=ol5f39m5gg=921v>6?:186[5?827?<;4<869>050=;1?019>9:2:e?827>393h6s|4083>7}Y<8168=85429~w6`=838pR>h4=525>1`<uz9n6=4={_1f?827>3>n7p}<d;296~X4l27?<;4;d:p7f<72;qU?n5241490f=z{:h1<7<t^2`891612=h0q~m7:181[e?34>;:7o:;|q`2?6=:rTh:63;078b7>{tk=0;6?uQc59>050=i;1vn=50;0xZf5<5=:=6l?4}ra1>5<5sWi970:?6;c3?xud93:1>vPl1:?743<>n2wxo=4?:3y]g5=:<9<1mh5rscd94?4|Vkl019>9:`f8yvdb2909wSlj;<632?gd3tyih7>52z\a`>;38?0jn6s|bb83>7}Yjj168=85a`9~wgd=838pRol4=525>d?<uzh26=4={_`:?827>3k37p}m8;296~Xe027?<;4n7:pf2<72;qUn:524149e3=z{k<1<7<t^c48916120o0q~l::181[d234>;:77;;|qa0?6=:rTi863;078:6>{tj:0;6?uQb29>050=181vo<50;0xZg4<5=:=64>4}r`2>5<5sWh:70:?6;:e?xue83:1>vPm0:?743<?m2wxok4?:3y]gc=:<9<15i5rsbg94?4|Vjo019>9:8a8yvec2909wSmk;<632??e3tyho7>52z\`g>;38?02m6s|cc83>7}Ykk168=85989~wfg=838pRno4=525><><uzi26=4={_a:?827>33<7p}l5;296~Xd=27?<;466:pfd<72;qUnl524149=0=z{hl1<7<t^`d8916121n0q~?k4;296~X6l=168=851e78yv312909wS;9;<632?3?3ty>87>52z\60>;38?0=86s|5283>7}Y=:168=85629~w04=838pR8<4=525>34<uz?:6=4={_72?827>3<:7p}:0;296~X2827?<;490:p30<72;qU;85241493d=z{8<?6=4={_350>;38?0::85rs6`94?4|V>h019>9:6f8yv71>3:1>vP>679>050=9?=0q~?:b;296~X6=k168=851028yv7213:1>vP>589>050=99o0q~?:7;296~X6=>168=8511f8yv72>3:1>vP>579>050=99i0q~?:5;296~X6=<168=8511`8yv72<3:1>vP>559>050=99k0q~?:3;296~X6=:168=8510;8yv72:3:1>vP>539>050=9820q~?:1;296~X6=8168=851058yv7283:1>vP>519>050=98<0q~?;f;296~X6<o168=851078yv73m3:1>vP>4d9>050=98>0q~?;c;296~X6<j168=851018yv73j3:1>vP>4c9>050=9880q~?;a;296~X6<h168=851038yv7313:1>vP>489>050=9930q~?;8;296~X6<1168=85fd9~w4202909wS?;7:?743<ak2wx=9850;0xZ42134>;:7hm;|q200<72;qU=9;4=525>cg<uz;?87>52z\201=:<9<1j45rs060>5<5sW;??63;078e<>{t9?81<7<t^041?827>3;;;6s|17394?4|V8<:70:?6;332>{t9?:1<7<t^043?827>3;;96s|14d94?4|V8?m70:?6;330>{t9<o1<7<t^07f?827>3;;?6s|14f94?4|V8?o70:?6;336>{t9<i1<7<t^07`?827>3;;=6s|14:94?4|V8?370:?6;334>{t9=n1<7<t^06g?827>3lm7p}>4383>7}Y9=8019>9:g58yv>e2908iv3<948236=Y0k1U=i74^0a:?[7d?2T:o;5Q1b78Z4e33W;h?63;0782gc=:<9<1=no4=525>0?<5=:=68l4=525>c7<5=:=6k=4=525>c2<5=:=6k84=525>12<5=:=6984^002?827>3>j70:?6;6:?827>3>370:?6;64?827>3>970:?6;7e?827>3?n70:?6;7g?827>3?h70:?6;74?827>3;o<6P>2b9]561<V89i7S?<c:\27a=Y9:o0R<=i;_374>X6<81U=?<4^000?[75<2T:>85Q1348Z4403W;946P>289]57g<V88i7S?=d:\26`=Y9;l0R<=?;_305>X6;;1U=>=4^017?[74=2T:?;5Q12:8Z45f3twi>l:50;794?6|,8o?6<8j;I1:0>N4?l1/=5>53:k5f?6=3`<o6=44i9694?=n010;66a>bg83>>{e:h91<7;50;2x 4c328<n7E=64:J03`=#91:1?6g9b;29?l0c2900e5:50;9j<=<722e:nk4?::a6d4=83?1<7>t$0g7>40b3A9286F<7d9'5=6=;2c=n7>5;h4g>5<<a1>1<75f8983>>i6jo0;66sm2`394?3=83:p(<k;:04f?M5><2B8;h5+19297>o1j3:17d8k:188m=2=831b454?::m2fc<722wi>l>50;794?6|,8o?6<8j;I1:0>N4?l1/=5>53:k5f?6=3`<o6=44i9694?=n010;66a>bg83>>{e:0k1<7;50;2x 4c328<n7E=64:J03`=#91:1?6g9b;29?l0c2900e5:50;9j<=<722e:nk4?::a6<?=83?1<7>t$0g7>40b3A9286F<7d9'5=6=;2c=n7>5;h4g>5<<a1>1<75f8983>>i6jo0;66sm28:94?3=83:p(<k;:04f?M5><2B8;h5+19297>o1j3:17d8k:188m=2=831b454?::m2fc<722wi>4950;794?6|,8o?6<8j;I1:0>N4?l1/=5>53:k5f?6=3`<o6=44i9694?=n010;66a>bg83>>{e:0<1<7;50;2x 4c328<n7E=64:J03`=#91:1?6g9b;29?l0c2900e5:50;9j<=<722e:nk4?::a6<2=83?1<7>t$0g7>4173A9286F<7d9'5=6=?2c=n7>5;h4g>5<<a121<75f1cf94?=h9kl1<75rb350>5<3290;w)?j4;35`>N41=1C?:k4$0:3>6=n>k0;66g74;29?l>?2900c<li:188yg4083:187>50z&2a1<6>m1C?4:4H25f?!7?8390e;l50;9j<1<722c347>5;n3ab?6=3th9;;4?:583>5}#9l>1=;h4H2;7?M50m2.:4=48;h4a>5<<a121<75f1cf94?=h9kl1<75rb356>5<3290;w)?j4;35b>N41=1C?:k4$0:3>2=n>k0;66g78;29?l7el3:17b?mf;29?xd5l10;684?:1y'5`2=9?o0D>7;;I14a>"609087d8m:188m3b=831b494?::k;<?6=3f;ij7>5;|`1`2<72<0;6=u+1d6953c<@:3?7E=8e:&2<5<43`<i6=44i7f94?=n0=0;66g78;29?j7en3:17pl=d783>0<729q/=h:517g8L6?33A9<i6*>8180?l0e2900e;j50;9j<1<722c347>5;n3ab?6=3th9h84?:483>5}#9l>1=;k4H2;7?M50m2.:4=4<;h4a>5<<a?n1<75f8583>>o?03:17b?mf;29?xd5l90;684?:1y'5`2=9?o0D>7;;I14a>"609087d8m:188m3b=831b494?::k;<?6=3f;ij7>5;|`1gc<72<0;6=u+1d6953c<@:3?7E=8e:&2<5<43`<i6=44i7f94?=n0=0;66g78;29?j7en3:17pl=cd83>0<729q/=h:517g8L6?33A9<i6*>8180?l0e2900e;j50;9j<1<722c347>5;n3ab?6=3th9oi4?:483>5}#9l>1=;k4H2;7?M50m2.:4=4<;h4a>5<<a?n1<75f8583>>o?03:17b?mf;29?xd5kj0;684?:1y'5`2=9?o0D>7;;I14a>"609087d8m:188m3b=831b494?::k;<?6=3f;ij7>5;|`1gg<72<0;6=u+1d69526<@:3?7E=8e:&2<5<03`<i6=44i7f94?=n010;66g>be83>>i6jo0;66sm2b694?3=83:p(<k;:04f?M5><2B8;h5+19297>o1j3:17d8k:188m=2=831b454?::m2fc<722wi>n=50;794?6|,8o?6<8j;I1:0>N4?l1/=5>53:k5f?6=3`<o6=44i9694?=n010;66a>bg83>>{e:j81<7;50;2x 4c328<n7E=64:J03`=#91:1?6g9b;29?l0c2900e5:50;9j<=<722e:nk4?::a6f7=83?1<7>t$0g7>40b3A9286F<7d9'5=6=;2c=n7>5;h4g>5<<a1>1<75f8983>>i6jo0;66sm2b294?3=83:p(<k;:053?M5><2B8;h5+19293>o1j3:17d8k:188m=>=831b=oj50;9l5g`=831vn?>m:187>5<7s-;n87?9d:J0=1=O;>o0D;94$0ce>4c43-;3<7=4i7`94?=n0=0;66g78;29?j7en3:17pl=0b83>1<729q/=h:517f8L6?33A9<i6F97:&2ec<6m:1/=5>53:k5f?6=3`2?6=44i9:94?=h9kl1<75rb32g>5<3290;w)?j4;35`>N41=1C?:k4H758 4ga28o87)?70;18m3d=831b494?::k;<?6=3f;ij7>5;|`14`<72=0;6=u+1d6953b<@:3?7E=8e:J53>"6io0:i>5+19297>o1j3:17d6;:188m=>=831d=oh50;9~f76a290?6=4?{%3f0?71l2B8595G36g8L31<,8km6<k<;%3;4?5<a?h1<75f8583>>o?03:17b?mf;29?xd5990;694?:1y'5`2=9?n0D>7;;I14a>N1?2.:mk4>e29'5=6=;2c=n7>5;h:7>5<<a121<75`1cd94?=zj;8m6=4;:183!7b<3;=h6F<959K72c<@?=0(<oi:0g0?!7?8390e;l50;9j<1<722c347>5;n3ab?6=3th9?=4?:583>5}#9l>1=;j4H2;7?M50m2B=;6*>ag82a6=#91:1?6g9b;29?l>32900e5650;9l5g`=831vn?=>:187>5<7s-;n87?9d:J0=1=O;>o0D;94$0ce>4c43-;3<7=4i7`94?=n0=0;66g78;29?j7en3:17pl=3383>1<729q/=h:517f8L6?33A9<i6F97:&2ec<6m:1/=5>53:k5f?6=3`2?6=44i9:94?=h9kl1<75rb310>5<3290;w)?j4;35`>N41=1C?:k4H758 4ga28o87)?70;18m3d=831b494?::k;<?6=3f;ij7>5;|`171<72=0;6=u+1d6953b<@:3?7E=8e:J53>"6io0:i>5+19297>o1j3:17d6;:188m=>=831d=oh50;9~f4`a290?6=4?{%3f0?71l2B8595G36g8L31<,8km6<k<;%3;4?5<a?h1<75f8583>>o?03:17b?mf;29?xd5890;694?:1y'5`2=9?n0D>7;;I14a>N1?2.:mk4>e29'5=6=;2c=n7>5;h:7>5<<a121<75`1cd94?=zj;::6=4;:183!7b<3;=h6F<959K72c<@?=0(<oi:0g0?!7?8390e;l50;9j<1<722c347>5;n3ab?6=3th9<?4?:583>5}#9l>1=;j4H2;7?M50m2B=;6*>ag82a6=#91:1?6g9b;29?l>32900e5650;9l5g`=831vn?><:187>5<7s-;n87?9d:J0=1=O;>o0D;94$0ce>4c43-;3<7=4i7`94?=n0=0;66g78;29?j7en3:17pl=0583>1<729q/=h:517f8L6?33A9<i6F97:&2ec<6m:1/=5>53:k5f?6=3`2?6=44i9:94?=h9kl1<75rb33g>5<3290;w)?j4;35`>N41=1C?:k4H758 4ga28o87)?70;18m3d=831b494?::k;<?6=3f;ij7>5;|`15`<72=0;6=u+1d6953b<@:3?7E=8e:J53>"6io0:i>5+19297>o1j3:17d6;:188m=>=831d=oh50;9~f77a290?6=4?{%3f0?71l2B8595G36g8L31<,8km6<k<;%3;4?5<a?h1<75f8583>>o?03:17b?mf;29?xd5:90;694?:1y'5`2=9?n0D>7;;I14a>N1?2.:mk4>e29'5=6=;2c=n7>5;h:7>5<<a121<75`1cd94?=zj;8:6=4;:183!7b<3;=h6F<959K72c<@?=0(<oi:0g0?!7?8390e;l50;9j<1<722c347>5;n3ab?6=3th9>?4?:583>5}#9l>1=;j4H2;7?M50m2B=;6*>ag82a6=#91:1?6g9b;29?l>32900e5650;9l5g`=831vn?;l:187>5<7s-;n87?9d:J0=1=O;>o0(<6?:29j2g<722c387>5;h:;>5<<g8hm6=44}c06f?6=<3:1<v*>e5822a=O;0>0D>9j;%3;4?5<a?h1<75f8583>>o?03:17b?mf;29?xd5=h0;694?:1y'5`2=9?n0D>7;;I14a>"609087d8m:188m=2=831b454?::m2fc<722wi>8750;694?6|,8o?6<8k;I1:0>N4?l1/=5>53:k5f?6=3`2?6=44i9:94?=h9kl1<75rb37;>5<3290;w)?j4;35`>N41=1C?:k4$0:3>6=n>k0;66g74;29?l>?2900c<li:188yg42?3:187>50z&2a1<6>m1C?4:4H25f?!7?8390e;l50;9j<1<722c347>5;n3ab?6=3th98=4?:583>5}#9l>1=;j4H2;7?M50m2.:4=4<;h4a>5<<a1>1<75f8983>>i6jo0;66sm22d94?2=83:p(<k;:04g?M5><2B8;h5+19297>o1j3:17d6;:188m=>=831d=oh50;9~f75b290?6=4?{%3f0?71l2B8595G36g8 4>72:1b:o4?::k;0?6=3`236=44o0`e>5<<uk88h7>54;294~"6m=0::i5G3868L61b3-;3<7=4i7`94?=n0=0;66g78;29?j7en3:17pl=3b83>1<729q/=h:517f8L6?33A9<i6*>8180?l0e2900e5:50;9j<=<722e:nk4?::a66d=83>1<7>t$0g7>40c3A9286F<7d9'5=6=;2c=n7>5;h:7>5<<a121<75`1cd94?=zj;>=6=4;:183!7b<3;=h6F<959K72c<,82;6>5f6c83>>o?<3:17d67:188k4da2900qo<;5;290?6=8r.:i94>6e9K7<2<@:=n7)?70;18m3d=831b494?::k;<?6=3f;ij7>5;|`101<72=0;6=u+1d6953b<@:3?7E=8e:&2<5<43`<i6=44i9694?=n010;66a>bg83>>{e:=91<7:50;2x 4c328<o7E=64:J03`=#91:1?6g9b;29?l>32900e5650;9l5g`=831vn?:=:187>5<7s-;n87?9d:J0=1=O;>o0(<6?:29j2g<722c387>5;h:;>5<<g8hm6=44}c075?6=<3:1<v*>e5822a=O;0>0D>9j;%3;4?5<a?h1<75f8583>>o?03:17b?mf;29?xd5>;0;694?:1y'5`2=9?n0D>7;;I14a>"609087d8m:188m=2=831b454?::m2fc<722wi>;?50;694?6|,8o?6<8k;I1:0>N4?l1/=5>53:k5f?6=3`2?6=44i9:94?=h9kl1<75rb343>5<3290;w)?j4;35`>N41=1C?:k4$0:3>6=n>k0;66g74;29?l>?2900c<li:188yg42n3:187>50z&2a1<6>m1C?4:4H25f?!7?8390e;l50;9j<1<722c347>5;n3ab?6=3th99h4?:583>5}#9l>1=;j4H2;7?M50m2.:4=4<;h4a>5<<a1>1<75f8983>>i6jo0;66sm24f94?2=83:p(<k;:04g?M5><2B8;h5+19297>o1j3:17d6;:188m=>=831d=oh50;9~f7d729086=4?{%3f0?7fi2B8595G36g8 4>72880e<99:188m4102900c<l9:188yg51:3:1?7>50z&2a1<6ih1C?4:4H25f?!7?83;97)?mc;1b7>o6??0;66g>7683>>i6j?0;66sm37194?5=83:p(<k;:0cb?M5><2B8;h5+192957=#9ki1?l<4i055>5<<a8=<6=44o0`5>5<<uk9<;7>53;294~"6m=0:ml5G3868L61b3-;3<7?=;%3ag?5f;2c:;;4?::k232<722e:n;4?::a72b=8391<7>t$0g7>4gf3A9286F<7d9'5=6=9;1/=om53`08m4112900e<98:188k4d12900qo<7f;291?6=8r.:i94>ab9K7<2<@:=n7)?70;0`?!7ek39j86g>7783>>o6?>0;66g>7983>>o6?00;66a>b783>>{e:0;1<7850;2x 4c328ko7E=64:J03`=#91:1>i5+1ca97d2<a8==6=44i054>5<<a8=36=44i05:>5<<a8=j6=44o0`5>5<<uk82?7>57;294~"6m=0:mh5G3868L61b3-;3<7<i;h342?6=3`;<;7>5;h34<?6=3`;<57>5;h34e?6=3`;<n7>5;n3a2?6=3th9484?:583>5}#9l>1=ll4H2;7?M50m2.:4=4=b:&2ff<4i01b=:850;9j521=831b=:650;9l5g0=831vn?6;:180>5<7s-;n87?na:J0=1=O;>o0(<6?:378 4dd2:k27d?86;29?l70?3:17b?m6;29?xd5?10;684?:1y'5`2=9hi0D>7;;I14a>"6090886*>bb80e==n9><1<75f16594?=n9>21<75f16;94?=h9k<1<75rb34g>5<2290;w)?j4;3bg>N41=1C?:k4$0:3>45<a8==6=44i054>5<<a8=36=44i05:>5<<g8h=6=44}c046?6==3:1<v*>e582ef=O;0>0D>9j;%3;4?433-;io7=n7:k233<722c:;:4?::k23=<722c:;44?::m2f3<722wi>::50;694?6|,8o?6<om;I1:0>N4?l1/=5>5649'5ge=;h=0e<99:188m4102900e<97:188k4d12900qo<;8;297?6=8r.:i94>a`9K7<2<@:=n7)?70;06?!7ek39jm6g>7783>>o6?>0;66a>b783>>{e:=k1<7:50;2x 4c328ki7E=64:J03`=#91:1?:5+1ca97dg<a8==6=44i054>5<<a8=36=44o0`5>5<<uk8=:7>53;294~"6m=0:ml5G3868L61b3-;3<7<:;%3ag?5fj2c:;;4?::k232<722e:n;4?::a63>=83>1<7>t$0g7>4ge3A9286F<7d9'5=6=;>1/=om53``8m4112900e<98:188m41?2900c<l9:188yg7bm3:1?7>50z&2a1<6ih1C?4:4H25f?!7?838>7)?mc;1b4>o6??0;66g>7683>>i6j?0;66sm1df94?5=83:p(<k;:0cb?M5><2B8;h5+192960=#9ki1?l>4i055>5<<a8=<6=44o0`5>5<<uk;no7>53;294~"6m=0:ml5G3868L61b3-;3<7<:;h342?6=3`;<;7>5;n3a2?6=3th:i44?:283>5}#9l>1=lo4H2;7?M50m2.:4=4=5:&2ff<41o1b=:850;9j521=831d=o850;9~f4c?29086=4?{%3f0?7fi2B8595G36g8 4>72;?0(<ll:2;e?l70>3:17d?87;29?j7e>3:17pl>e683>6<729q/=h:51`c8L6?33A9<i6*>81811>"6jj08m<5f16494?=n9>=1<75`1c494?=zj8o=6=4<:183!7b<3;jm6F<959K72c<,82;6?;4$0``>6g63`;<:7>5;h343?6=3f;i:7>5;|`10c<72>0;6=u+1d695dc<@:3?7E=8e:&2<5<5?2c:;;4?::k232<722c:;54?::k23<<722c:;l4?::k23g<722e:n;4?::a635=83=1<7>t$0g7>4gb3A9286F<7d9'5=6=:>1b=:850;9j521=831b=:650;9j52?=831b=:o50;9j52d=831d=o850;9~f72e290>6=4?{%3f0?7fk2B8595G36g8 4>72;<0(<ll:2c6?l70>3:17d?87;29?l7003:17d?89;29?j7e>3:17pl=4e83>3<729q/=h:51`f8L6?33A9<i6*>8180<>"6jj08m85f16494?=n9>=1<75f16:94?=n9>31<75f16c94?=h9k<1<75rb34:>5<2290;w)?j4;3bg>N41=1C?:k4$0:3>70<,8hh6>o9;h342?6=3`;<;7>5;h34<?6=3`;<57>5;n3a2?6=3th9:o4?:783>5}#9l>1=lj4H2;7?M50m2.:4=4<8:&2ff<4i?1b=:850;9j521=831b=:650;9j52?=831b=:o50;9l5g0=831vn?9m:184>5<7s-;n87?ne:J0=1=O;>o0(<6?:208m4112900e<98:188m41?2900e<96:188m41f2900e<9m:188k4d12900qo<8d;297?6=8r.:i94>a`9K7<2<@:=n7)?70;16?!7ek39jo6g>7783>>o6?>0;66a>b783>>{e:>o1<7950;2x 4c328kn7E=64:J03`=#91:1?<5f16494?=n9>=1<75f16:94?=n9>31<75f16c94?=n9>h1<75`1c494?=zj;=m6=4::183!7b<3;jo6F<959K72c<,82;6>=4i055>5<<a8=<6=44i05;>5<<a8=26=44o0`5>5<<uk83<7>57;294~"6m=0:mh5G3868L61b3-;3<7?82:k233<722c:;:4?::k23=<722c:;44?::k23d<722c:;o4?::m2f3<722wi>l850;494?6|,8o?6<ok;I1:0>N4?l1/=5>5319j520=831b=:950;9j52>=831b=:750;9j52g=831d=o850;9~f7g0290=6=4?{%3f0?7fl2B8595G36g8 4>72;:0e<99:188m4102900e<97:188m41>2900e<9n:188k4d12900qo<na;293?6=8r.:i94>ad9K7<2<@:=n7)?70;15?l70>3:17d?87;29?l7003:17d?89;29?l70i3:17d?8b;29?j7e>3:17pl=ac83>2<729q/=h:51`g8L6?33A9<i6*>81802>o6??0;66g>7683>>o6?10;66g>7883>>o6?h0;66g>7c83>>i6j?0;66sm26a94?1=83:p(<k;:0cf?M5><2B8;h5+19292>o6??0;66g>7683>>o6?10;66g>7883>>o6?h0;66g>7c83>>i6j?0;66sm2`;94?3=83:p(<k;:0c`?M5><2B8;h5+1929<f=n9><1<75f16594?=n9>21<75f16;94?=h9k<1<75rb3c`>5<0290;w)?j4;3ba>N41=1C?:k4$0:3>75<a8==6=44i054>5<<a8=36=44i05:>5<<a8=j6=44i05a>5<<g8h=6=44}c16b?6=>3:1<v*>e582ea=O;0>0D>9j;%3;4?71j2c:;;4?::k232<722c:;54?::k23<<722c:;l4?::m2f3<722wi>ol50;794?6|,8o?6<ol;I1:0>N4?l1/=5>5389'5ge=;0n0e<99:188m4102900e<97:188m41>2900c<l9:188yg4el3:1:7>50z&2a1<6im1C?4:4H25f?!7?83<h7)?mc;1:`>o6??0;66g>7683>>o6?10;66g>7883>>o6?h0;66a>b783>>{e:kl1<7950;2x 4c328kn7E=64:J03`=#91:1=;o4i055>5<<a8=<6=44i05;>5<<a8=26=44i05b>5<<a8=i6=44o0`5>5<<uk8i>7>54;294~"6m=0:mo5G3868L61b3-;3<7=n;h342?6=3`;<;7>5;h34<?6=3f;i:7>5;|`1f4<72:0;6=u+1d695dg<@:3?7E=8e:&2<5<4=2c:;;4?::k232<722e:n;4?::a5`d=8391<7>t$0g7>4gf3A9286F<7d9'5=6=;<1/=om538g8m4112900e<98:188k4d12900qo?ja;297?6=8r.:i94>a`9K7<2<@:=n7)?70;06?!7ek392i6g>7783>>o6?>0;66a>b783>>{e9l?1<7=50;2x 4c328kj7E=64:J03`=#91:1?85+1ca97de<a8==6=44i054>5<<g8h=6=44}c0ea?6==3:1<v*>e582ef=O;0>0D>9j;%3;4?71;2c:;;4?::k232<722c:;54?::k23<<722e:n;4?::a742=83?1<7>t$0g7>4gd3A9286F<7d9'5=6=9?90e<99:188m4102900e<97:188m41>2900c<l9:188yg55i3:197>50z&2a1<6ij1C?4:4H25f?!7?83;=?6g>7783>>o6?>0;66g>7983>>o6?00;66a>b783>>{e;::1<7;50;2x 4c328kh7E=64:J03`=#91:1=;=4i055>5<<a8=<6=44i05;>5<<a8=26=44o0`5>5<<uk98>7>55;294~"6m=0:mn5G3868L61b3-;3<7?93:k233<722c:;:4?::k23=<722c:;44?::m2f3<722wi?>:50;794?6|,8o?6<ol;I1:0>N4?l1/=5>51718m4112900e<98:188m41?2900e<96:188k4d12900qo=<6;291?6=8r.:i94>ab9K7<2<@:=n7)?70;357>o6??0;66g>7683>>o6?10;66g>7883>>i6j?0;66sm32:94?3=83:p(<k;:0c`?M5><2B8;h5+1929535<a8==6=44i054>5<<a8=36=44i05:>5<<g8h=6=44}c10e?6==3:1<v*>e582ef=O;0>0D>9j;%3;4?71;2c:;;4?::k232<722c:;54?::k23<<722e:n;4?::a76e=83?1<7>t$0g7>4gd3A9286F<7d9'5=6=9?90e<99:188m4102900e<97:188m41>2900c<l9:188yg5793:197>50z&2a1<6ij1C?4:4H25f?!7?83;=?6g>7783>>o6?>0;66g>7983>>o6?00;66a>b783>>{e;991<7;50;2x 4c328kh7E=64:J03`=#91:1=;=4i055>5<<a8=<6=44i05;>5<<a8=26=44o0`5>5<<uk9;97>55;294~"6m=0:mn5G3868L61b3-;3<7?93:k233<722c:;:4?::k23=<722c:;44?::m2f3<722wi?=950;794?6|,8o?6<ol;I1:0>N4?l1/=5>51718m4112900e<98:188m41?2900e<96:188k4d12900qo=?9;291?6=8r.:i94>ab9K7<2<@:=n7)?70;357>o6??0;66g>7683>>o6?10;66g>7883>>i6j?0;66sm31`94?3=83:p(<k;:0c`?M5><2B8;h5+1929535<a8==6=44i054>5<<a8=36=44i05:>5<<g8h=6=44}c13`?6==3:1<v*>e582ef=O;0>0D>9j;%3;4?71;2c:;;4?::k232<722c:;54?::k23<<722e:n;4?::a75`=83?1<7>t$0g7>4gd3A9286F<7d9'5=6=9?90e<99:188m4102900e<97:188m41>2900c<l9:188yg5693:197>50z&2a1<6ij1C?4:4H25f?!7?83;=?6g>7783>>o6?>0;66g>7983>>o6?00;66a>b783>>{e;891<7;50;2x 4c328kh7E=64:J03`=#91:1=;=4i055>5<<a8=<6=44i05;>5<<a8=26=44o0`5>5<<uk9:;7>55;294~"6m=0:mn5G3868L61b3-;3<7?93:k233<722c:;:4?::k23=<722c:;44?::m2f3<722wi?<750;794?6|,8o?6<ol;I1:0>N4?l1/=5>51718m4112900e<98:188m41?2900e<96:188k4d12900qo=>b;291?6=8r.:i94>ab9K7<2<@:=n7)?70;357>o6??0;66g>7683>>o6?10;66g>7883>>i6j?0;66sm30f94?3=83:p(<k;:0c`?M5><2B8;h5+1929535<a8==6=44i054>5<<a8=36=44i05:>5<<g8h=6=44}c12b?6==3:1<v*>e582ef=O;0>0D>9j;%3;4?71;2c:;;4?::k232<722c:;54?::k23<<722e:n;4?::a777=83?1<7>t$0g7>4gd3A9286F<7d9'5=6=9?90e<99:188m4102900e<97:188m41>2900c<l9:188yg55;3:197>50z&2a1<6ij1C?4:4H25f?!7?83;=?6g>7783>>o6?>0;66g>7983>>o6?00;66a>b783>>{e;;?1<7;50;2x 4c328kh7E=64:J03`=#91:1=;=4i055>5<<a8=<6=44i05;>5<<a8=26=44o0`5>5<<uk99;7>55;294~"6m=0:mn5G3868L61b3-;3<7?93:k233<722c:;:4?::k23=<722c:;44?::m2f3<722wi??750;794?6|,8o?6<ol;I1:0>N4?l1/=5>51718m4112900e<98:188m41?2900e<96:188k4d12900qo==d;291?6=8r.:i94>ab9K7<2<@:=n7)?70;357>o6??0;66g>7683>>o6?10;66g>7883>>i6j?0;66sm33d94?3=83:p(<k;:0c`?M5><2B8;h5+1929535<a8==6=44i054>5<<a8=36=44i05:>5<<g8h=6=44}c0:1?6=>3:1<v*>e582ea=O;0>0D>9j;%3;4?0?3`;<:7>5;h343?6=3`;<47>5;h34=?6=3`;<m7>5;n3a2?6=3th94>4?:383>5}#9l>1=l84H2;7?M50m2c:;84?::m2f3<722wi>io50;094?6|,8o?6<o9;I1:0>N4?l1b=:;50;9l5g0=831vn?jl:181>5<7s-;n87?n6:J0=1=O;>o0e<9::188k4d12900qo<9f;296?6=8r.:i94>a79K7<2<@:=n7d?85;29?j7e>3:17p};0d83>2}Y<9o01>8::0`g?851>3;ih63<6682fa=:;>21=oj4=251>4dc349=876;;|q2f6<72;op1>7::050?851=32370=80;:;?850932370=9b;:;?851k32370=9d;:;?852l3;o>63<5e80<f=:;<n1?5o4=27g>6>>349>h7=78:?01a<b12789i4j7:?01a<b=2789i4j4:?01a<b;2789i4j2:?01a<b92789i4j0:?01a<cn2789i4ke:?01a<cl2789i4kc:?01a<ci2789i4k9:?01a<c02789i4k7:?01a<c>2789i4k5:?01a<c<2789i4k3:?01a<c:2789i4k1:?01a<a82789i4jf:?01a<bm2789i4jd:?01a<bk2789i4jb:?01a<bi2789i4j6:?01a<cj2789i4k0:?01a<6i<16?8j51`68yv44=3:1?v3=3c82fc=::h31=:94=3ca>41f3ty9?;4?:2y>66e=9kl01?o9:054?84fi3;<;6s|22594?5|5;9o6<li;<0b2?700279ml4>799~w75?2908w0<<e;3ab>;5i>0:;5522``952><uz8857>53z?17c<6jo16>lo516c897g>28==7p}=3`83>6}::=:1=oh4=3c4>410348jn7?86:p607=839p1?;8:0`e?840l3;<:63=7b823==z{;?96=4<{<06<?7en279;o4>779>62c=9><0q~<:3;297~;5=00:nk5226`9521<5;=n6<98;|q111<72:q6>8o51cd8971a28=<70<8c;343>{t:<?1<7=t=37a>4da348<n7?88:?13`<6?11v?;9:180842k3;ij63=7g8233=::>i1=:84}r155?6=9<q6?8j53968963c2:2970=:d;1;5>;4=m084=5234f9532<5;i;6;j4=3a2>3b<5;i96;j4=3a0>3b<5;i?6;j4=3aa>3b<5;ih6;j4=3ag>3b<5;in6;j4=3ae>3b<5;n;6;j4=3f6>3b<5;n=6;j4=3f4>3b<5;n36;j4=3`3>4d13ty9mk4?:3y>6d`=9kl0R9>?;|q1`4<72=q6?8j53e9>6a3=9kl01<kl:055?87bj3;<;6s|2e094?2|5:?o6>k4=3f5>4da34;nh7?86:?2af<6?>1v?j<:187852l39m70<k7;3ab>;6ml0:;;521df9521<uz8o87>54z?01a<39279h54>bg9>634=0116=hk51658yv5183:1ov3=9785`>;51>0=h63=9985`>;5100=h63=9`85`>;5i90=h63=a085`>;5i;0=h63=a285`>;5i=0=h63=6e82f3=::1:1=:l4}r0;5?6==r794<4>bg9>624=9><01?8i:056?841l3;<;63<5g8232=z{;==6=4={_1ee>;5??0:nk5rs3;a>5<2s49>h7;>;<0b4?7en27:i;4>779>5`3=9>=01?9m:05:?xu51j0;68u234f917=::h;1=oh4=0g4>41134;n:7?87:?13g<6?h1v?7k:186852l3?870<n2;3ab>;6m10:;;521d59521<5;=h6<9n;|q1=`<72<q6?8j5559>6d5=9kl01<k6:055?87b03;<;63=7c823g=z{;3m6=4:{<16`?31348j87?mf:?103<?027:i44>769>62e=9>30q~<kd;297~X4l016>kj51cd897`b28=<7p}=e983>6}Y;l>01?hi:0`e?856<3;<;6s|2g194?5|V:om70=>5;3ab>;4:h0:;:5rs3d5>5<4sW9m?63<2c82fc=:;::1=:94}r0e3?6=;rT8j95232395g`<5:996<98;|q1b=<72:qU?k;4=210>4da349887?87:p6c?=839pR>h9;<101?7en278?;4>769~w7`f2908wS=i7:?072<6jo16?>651658yv4aj3:1?vP<f99>76?=9kl01>=n:054?xu5nj0;6>uQ3g;8965e28hm70=<c;343>{t:mo1<7=t^2fb?85783;ij63<008232=z{;nm6=4<{_1gf>;48;0:nk523119521<uz8n<7>53z\0`f=:;9>1=oh4=226>4103ty9i<4?:2y]7ab<5::=6<li;<133?70?2wx>h<50;1xZ6bb349;47?mf:?04<<6?>1v?k<:180[5cn278<l4>bg9>75d=9>=0q~<j4;297~X4m916?=m51cd8966c28=<7p}=e483>6}Y;l;01>>j:0`e?857n3;<;6s|2d494?5|V:o970=>0;3ab>;4980:;:5rs3g4>5<4sW9n?63<1382fc=:;891=:94}r0f=?6=;rT8i85230495g`<5:;<6<98;|q1ad<72:qU?h84=23;>4da349:57?87:p6`d=839pR>k8;<12e?7en278=o4>769~w7cd2908wS=j8:?05f<6jo16?<j51658yv4bl3:1?vP<e89>74c=9kl01>?i:054?xu5ml0;6>uQ3dc8964728hm70==1;343>{t:ll1<7=t^2ga?855:3;ij63<228232=z{;l;6=4<{_1fg>;4:=0:nk523379521<uz8m=7>53z\0aa=:;;<1=oh4=204>4103ty9j?4?:2y]7`c<5:836<li;<11=?70?2wx>k:50;1xZ6`73499o7?mf:?06a<6?>1v?h::180[5a:278>h4>bg9>77`=9>=0q~=96;290~;5il0:ni522`d95gb<5:<<6564=245>4da3ty8:94?:3y>732=9kl01?ol:055?xu4>h0;6<?t=3:2>4dc349=h7?mf:?1=1<6jm16>485859>6<1=0=16>465859>6<?=0=16>4o5859>6d6=0=16>l?5859>6d4=0=16>l=5859>6d2=0=16>:;51cf8971128ho70<80;:7?840;32?7p}<6883>4?|5:<h6<li;<075?>3348?>76;;<077?>3348?876;;<071?>3348?:76;;<063?>3348>476;;<06=?>3348>m76;;<06f?>3348>o76;;<02`?>3348:i76;;<02b?>33489<76;;<015?>33489>76;;<3eb?>3348;<76;;<035?>3348;>76;;<037?>3348;876;;|q02=<72;9p1>8m:0`e?84al3;h<63=fg82g5=:;8?1=n>4=20a>4e73498=7?l0:?076<6k916?>;51b28965028i;70=<9;3`4>;4;k0:o=5231295f6<5::96<m?;<130?7d8278<;4>c19>75>=9j:01>>n:0a3?857k3;h<63<0d82g5=:;8:1=n>4=231>4e7349::7?l0:?05=<6k916?<o51b28967d28i;70=>e;3`4>;4:90:o=5233095f6<5:8?6<m?;<112?7d8278>54>c19>77e=9j:01><j:0a3?852l3;o863<5g823==z{:<m6=4>0z?034<6jo16>n>51cf897e621>01?m=:96897e421>01?m;:96897ee28ho70<lc;:7?84dl32?70<le;:7?84dn32?70<k0;:7?84c=32?70<k6;:7?84c?32?70<k8;:7?xu4>l0;6<7t=253>4da348>h76;;<06a?>3348>j76;;<054?>3348==76;;<056?>33488n76;;<00g?>33488h76;;<00a?>33488j76;;<074?>33489j76;;<004?>33488=76;;<006?>33488?76;;<000?>3348;n76;;<03g?>3348;h76;;<03a?>3348;j76;;<024?>33ty?=84?:2y]043<5;h;6<99;<0b2?70>2wx8<:50;17[26<279mh49b:?1ec<1j278:849b:?03d<1j278;=49b:?034<1j278:;49b:?03g<1j278::49b:?03=<1j278:949b:?01a<0=279o=49b:?1g4<1j279o?49b:?1g6<1j279o949b:?1gg<1j279on49b:?1ga<1j279oh49b:?1gc<1j279h=49b:?1`0<1j279h;49b:?1`2<1j279h549b:?11a<1j2799h49b:?11c<1j279:=49b:?124<1j279:?49b:?17g<1j279?n49b:?17a<1j279?h49b:?17c<1j2798=49b:?16c<1j279?=49b:?174<1j279??49b:?176<1j279?949b:?14g<1j279<n49b:?14a<1j279<h49b:?14c<1j279==49b:p05?=838<wS:?9:?13=<6?016>:<516;8971328=370<65;343>;5>m0:;;5234d9520<5;ln6<99;<120?70>278>l4>779>766=9><01>==:055?854<3;<:63<378233=:;:21=:84=21b>4113498o7?86:?044<6??16?==51648966228==70=?7;342>;4800:;;5231`9520<5::o6<99;<13b?70>278=<4>779>745=9><01>?8:055?85613;<:63<1c8233=:;8n1=:84=23e>4113499=7?86:?066<6??16??;51648964028==70==9;342>;4:m0:;;5233d9520<uz>;47>551y]05><5;2:6;l4=257>3d<5:<i6;l4=24`>3d<5:<o6;l4=256>3d<5:=96;l4=3dg>3d<5;lm6;l4=236>3d<5:8i6;l4=212>3d<5:986;l4=216>3d<5:9<6;l4=21:>3d<5:9i6;l4=223>3d<5::96;l4=227>3d<5::=6;l4=22;>3d<5::j6;l4=22`>3d<5::n6;l4=233>3d<5:;96;l4=235>3d<5:;36;l4=23b>3d<5:;h6;l4=23f>3d<5:8;6;l4=201>3d<5:8?6;l4=205>3d<5:836;l4=20`>3d<5:8n6;l4=27g>2d<5;3?6;l4=3;5>3d<5;3<6;l4=3;;>3d<5;326;l4=3;b>3d<5;k;6;l4=3c2>3d<5;k96;l4=3c0>3d<5;k?6;l4=356>3d<5;==6;l4=353>3d<5;=86;l4=362>3d<5;>96;l4=360>3d<5;>?6;l4=366>3d<5;>=6;l4=374>3d<5;?36;l4=37:>3d<5;?j6;l4=37a>3d<5;?h6;l4=33g>3d<5;;n6;l4=33e>3d<5;8;6;l4=302>3d<5;896;l4=0de>3d<5;:;6;l4=322>3d<5;:96;l4=320>3d<5;:?6;l4}r1a<?6=:rT8n55234f9ec=z{:i86=4={_1`7>;4=m0im6s|3bg94?4|V:in70=:d;a6?xu4l;0;6?uQ3e08963c2j30q~=k3;296~X4l:16?8j5c`9~w6b32909wS=k4:?01a<dj2wx?i;50;0xZ6b2349>h7ml;|q0`3<72;qU?i84=27g>fb<uz9o;7>52z\0`2=:;<n1oh5rs2f;>5<5sW9o463<5e8`b>{t;k31<7<t^2`:?852l3h;7p}<b`83>7}Y;kk01>;k:c38yv5ej3:1>vP<bc9>70b=j;1v>ll:181[5ek2789i4m3:p7gb=838pR>lk;<16`?d33ty8nh4?:3y]7gc<5:?o6o;4}r1ab?6=:rT8nk5234f9f3=z{:i;6=4={_1`4>;4=m0i;6s|3b394?4|V:i:70=:d;`;?xu4k;0;6?uQ3b08963c2k30q~=l4;296~X4k=16?8j5bc9~w6e22909wS=l5:?01a<ek2wx?n850;0xZ6e1349>h7lk;|q0g2<72;qU?n94=27g>gc<uz9h47>52z\0g==:;<n1nk5rs2a:>5<5sW9h563<5e8`4>{t;jk1<7<t^2ab?852l3i:7p}<cc83>7}Y;jh01>;k:b08yv5dk3:1>vP<cb9>70b=k:1v>mk:181[5dl2789i4l4:p7f`=838pR>mi;<16`?e13ty8h<4?:3y]7a7<5:?o6n64}r0;2?6=jr79594>bg9>6=`=9>=01?7>:05;?84>;3;<563=848232=::1>1=:94=0g6>411348297?8a:?1<6<6?<16>:j51658971d28=i7p}=8683>=}::0<1=oh4=3c3>=><5;2m6<97;<0:5?7012795>4>7`9>6=3=9>201?6;:055?840m3;<n6s|29:94?1|5;3<6<li;<0b5?>?3483j7?89:?1=4<6?h16>4=516`897>228==70<8e;34e>{t:131<78t=3;;>4da348j>767;<0;b?70>2795<4>779>6<5=9><01?9i:05:?xu50h0;68u228;95g`<5;k86564=3;2>4103482?7?87:?13`<6?01v?6m:18784>i3;ij63=a58;<>;51:0:;55226d952><uz8<m7>52z?1<4<?02794=4>b79~w7d4290iw0<l0;3ab>;5kj03463=bc823==::kn1=:74=3`e>41f348i>7?87:?1f4<6??16=hl5164894cf28=<70<kc;341>;5i?0:;l5rs3`7>5<0s48h=7?mf:?1ga<?0279no4>789>6gb=9>k01?li:05a?84e:3;<:63=a7823<=z{;h>6=49{<0`6?7en279oh478:?1fg<6??16>oj5164897da28==70<n7;34e>{t:k<1<7;t=3a0>4da348hj767;<0a`?70?279nk4>769>6d?=9>20q~<m7;290~;5k=0:nk522e29<==::kl1=:64=3c4>41>3ty9o84?:`y>6fd=9kl01?lm:054?84el3;<463=bg823<=::k81=:64=3`2>41034;nm7?86:?1`d<6?<16>ll516`897g>28=27p}=c783>7}::ji1=oh4=3cb>4113ty9o:4?:2y>6fb=9kl01?j::9:897gf28=27p}=c983>6}::jo1=oh4=3f5>=><5;ki6<96;|q1g<<72:q6>nh51cd897b021201?on:05a?xu5kh0;6>u22e295g`<5;n36564=3ca>4103ty9mh4?:2y>6dc=9kl01?l?:054?84f?3;<:6s|2`f94?5|5;kn6564=3ce>=><5;kh6<l9;|q036<72;q6?:<5899>734=9k<0q~=86;290~;4>k0:ni5237a95gb<5:<o6<lk;<143?7e>2wx?:750;0x961?21201>8<:0`5?xu4?j0;6>u236295gb<5:=:6<lk;<14`?7e>2wx?:;50;0x961228hm70=87;343>{t;>>1<7=t=256>=><5:=?6<li;<156?70?2wx?:<50;6x961321201>9=:0`e?851:3;<:63<768233=z{:=i6=4={<14f?7en278;i4>769~w61f2908w0=8b;:;?850i3;ij63<628232=z{:=36=4;{<14e?>?349<47?mf:?026<6??16?:j51648yv51?3:1>v3<658;<>;4>>0:nk5rs246>5<5s49=:767;<151?7en2wx?8k50;0x963c28<=70=:f;3a2>{t;:n1<7<t=27g>425348mi7?89:p71>=838p1>;k:06g?856<3;<56s|34194?4|5:?o6<;7;<11e?7012wx?8850;0x963c28?h70=<0;34=>{t;<=1<7<t=27g>43c3498>7?89:p70>=838p1>;k:07f?854<3;<56s|34;94?4|5:?o6<;i;<102?7012wx?8o50;0x963c28<;70=<8;34=>{t;<h1<7<t=27g>4063498m7?89:p70e=838p1>;k:041?854k3;<56s|32g94?4|5:?o6<:<;<135?7012wx?>h50;0x963c28>?70=?3;34=>{t;=:1<7<t=27g>422349;97?89:p717=838p1>;k:065?857?3;<56s|35094?4|5:?o6<:8;<13=?7012wx?9=50;0x963c28>370=?b;34=>{t;=>1<7<t=27g>42>349;h7?89:p713=838p1>;k:06b?857n3;<56s|35494?4|5:?o6<:m;<125?7012wx?9950;0x963c28>h70=>3;34=>{t;=31<7<t=27g>42b349:;7?89:p71g=838p1>;k:06e?85613;<56s|35`94?4|5:?o6<;?;<12f?7012wx?9m50;0x963c28?:70=>d;34=>{t;=n1<7<t=27g>435349:j7?89:p71c=838p1>;k:070?85593;<56s|35d94?4|5:?o6<;;;<117?7012wx?8>50;0x963c28?>70==5;34=>{t;<;1<7<t=27g>4313499;7?89:p704=838p1>;k:074?85513;<56s|34694?4|5:?o6<;6;<11`?7012wx?8;50;0x963c28?i70==f;34=>{t:1i1<7<t=3;5>=><5;2?6<l9;|q1<a<72;q6>495899>6=3=9k<0q~<7e;296~;51103463=8g82f3=z{;3;6=4={<0:=?>?3482=7?m6:p6<4=838p1?7n:9:897?428h=7p}=7083>7}::>:1455226095g0<uz8<;7>53z?130<?0279;;478:?13=<6j?1v?96:181840;32370<84;3a2>{t:>91<7<8{<047?7en279;54>769>624=9>201?9;:054?84>=3;<563=6e823<=:;<l1=:o4=3df>41?349:87?88:?06d<6?116?>>516:8965528=370=<4;34<>;4;?0:;55232:952><5:9j6<97;<10g?700278<<4>799>755=9>201>>::05;?857?3;<463<08823==:;9h1=:64=22g>41?349;j7?88:?054<6?116?<=516:8967028=370=>9;34<>;49k0:;55230f952><5:;m6<97;<115?700278>>4>799>773=9>201><8:05;?85513;<463<2e823==:;;l1=:64}r044?6=:>q6>:>51cd8971?28=370<9d;34<>;5?;0:;:522669520<5;lo6;j4=3de>3b<5:;>6;j4=20a>3b<5:9:6;j4=210>3b<5:9>6;j4=214>3b<5:926;j4=21a>3b<5::;6;j4=221>3b<5::?6;j4=225>3b<5::36;j4=22b>3b<5::h6;j4=22f>3b<5:;;6;j4=231>3b<5:;=6;j4=23;>3b<5:;j6;j4=23`>3b<5:;n6;j4=203>3b<5:896;j4=207>3b<5:8=6;j4=20;>3b<5:8h6;j4=20f>3b<5;3>6<97;<16b?7012wx>:;50;0x971228hm70<88;342>{t:k21<7<t=3a3>=><5;h:6<l9;|q1f<<72;q6>n?5899>6g4=9k<0q~<ma;296~;5k;03463=bc82f3=z{;hh6=4={<0`7?>?348ih7?m6:p6gc=838p1?m;:9:897da28h=7p}=6b83>7}::<21455227`95g0<uz8=m7>52z?11<<?0279:44>b79~w7002909w0<:a;:;?84103;i:6s|27794?4|5;?i6564=345>4d13ty98h4?:3y>66e=0116>9j51c48yv43k3:1>v3=3e8;<>;5<k0:n;5rs36:>5<5s488i767;<07e?7e>2wx>9950;0x975a21201?:7:0`5?xu6mo0;6?u22539<==:9l?1=o84}r3e4?6=:r798?478:?2a3<6j?1v<h>:181843;32370?j7;3a2>{t9o81<7<t=367>=><58o36<l9;|q2b6<72;q6>9;5899>5`?=9k<0q~?i4;296~;5=m03463>e`82f3=z{8l>6=4={<06a?>?34;nn7?m6:p5c0=838p1?;i:9:894cd28h=7p}>f683>7}::?:145521df95g0<uz;m47>52z?124<?027:ih4>b79~w7032909w0<:7;:;?841;3;i:6s|24294?4|5;9i6564=36e>4d13ty9<84?:3y>65d=0116>9?51cd8yv47>3:1>v3=0b8;<>;5<;0:nk5rs324>5<5s48;h767;<077?7en2wx>=650;0x976b21201?:;:0`e?xu5800;6?u221d9<==::=?1=oh4}r03e?6=:r79==478:?103<6jo1v??8:181846l3;ij63=628233=z{;;36=4<{<02a?7en279:>4>769>63d=9><0q~<>9;290~;59o0:nk52271952><5;<26<99;<05f?70?2wx><o50;7x974728hm70<98;342>;5>:0:;45227;9521<5;<i6<97;|q15g<72?q6>??51cd8970128==70<98;343>;5>:0:;l5227;952><5;<i6<96;|q15f<72>q6>8m5899>674=9kl01?89:054?84103;<463=62823g=::?31=:74=34a>41f3ty9=<4?:3y>64b=0116=kh51cd8yv46:3:1>v3=1d8;<>;5890:nk5rs330>5<5s48:j767;<035?7en2wx><:50;0x974721201?>=:0`e?xu59<0;6?u22339<==::991=oh4}r022?6=:r79>?478:?141<6jo1v<h6:18187an32370<:d;3ab>{t9ok1<7<t=323>=><5;?n6<li;|q2bg<72;q6>=?5899>60`=9kl0q~?ic;296~;58;03463=6182fc=z{8lo6=4={<037?>?348==7?mf:p5cc=838p1?>;:9:8970528hm7p}=2883>7}::;l1=oh4=36e>4113ty9>l4?:2y>666=9kl01?:i:054?843l3;<:6s|23`94?2|5;9:6<li;<07b?7002798o4>779>61b=9>=0q~<=c;291~;5;;0:nk5225c952><5;>m6<96;<07f?70?2798i4>799~w74c290=w0<<3;3ab>;5<10:;;5225c9520<5;>m6<9n;<07f?7002798i4>789~w74b290<w0<;0;:;?844<3;ij63=498232=::=k1=:94=36e>41e348?n7?89:?10a<6?h1v?<<:181845n32370<?b;3ab>{t:;>1<7<t=313>=><5;:h6<li;|q160<72;q6>>?5899>65b=9kl0q~<=6;296~;5;;03463=0d82fc=z{;8<6=4={<007?>?348;j7?mf:p67>=838p1?=;:9:8977728hm7p}=d883>6}:;<n1?o522b`9<==::mk1=o84}r0gf?6=:r789i4<c:?1`f<6j?1v?6=:180852l3?;70<65;342>;50:0:n;5rs35a>5<5s48<n7?m6:?1<5<6?01v?9l:181840k3;i:63=81823d=z{;=o6=4={<04`?7e>2794=4>779~w71b2909w0<8e;3a2>;5090:;55rs35e>5<5s48<j7?m6:?1<5<6?>1v?o::18184f>3;i:63=ab823==z{;k=6=4={<0b3?7e>279mn4>789~w7g?2909w0<na;3a2>;5ij0:;l5rs3cb>5<5s48jn7?m6:?1ef<6?k1v<l=:18184f13;i:63=ab8232=z{;ln6=4={<0e`?>?348mi7?m6:p742=838p1?hi:9:8967328h=7p}<2`83>7}:;8?1455233c95g0<uz98<7>52z?06g<?0278?=4>b79~w6552909w0=<1;:;?854:3;i:6s|32694?4|5:986564=217>4d13ty8?;4?:3y>763=0116?>851c48yv5403:1>v3<368;<>;4;10:n;5rs21b>5<5s4985767;<10e?7e>2wx?>m50;0x965e21201>=l:0`5?xu4880;6?u23129<==:;9;1=o84}r137?6=:r78<?478:?046<6j?1v>>::181857<32370=?5;3a2>{t;9=1<7<t=225>=><5::<6<l9;|q04<<72;q6?=65899>75?=9k<0q~=?b;296~;48h03463<0c82f3=z{::o6=4={<13g?>?349;h7?m6:p75`=838p1>>j:9:8966a28h=7p}<1083>7}:;8:1455230395g0<uz9:?7>52z?057<?0278=>4>b79~w6702909w0=>6;:;?856?3;i:6s|30;94?4|5:;36564=23:>4d13ty8=o4?:3y>74g=0116?<l51c48yv56l3:1>v3<1b8;<>;49m0:n;5rs23e>5<5s49:i767;<12b?7e>2wx???50;0x964721201><>:0`5?xu4::0;6?u23309<==:;;91=o84}r111?6=:r78>9478:?060<6j?1v><8:181855>32370==7;3a2>{t;;31<7<t=20;>=><5:826<l9;|q06a<72;q6??m5899>77b=9k<0q~==f;296~;4:l03463<2g82f3=z{;<n6=4={<0:0?0c348=j7?m6:p6<3=838p1?7;:9:897?228h=7psa66294?7|@:=n7p`97083>4}O;>o0qc882;295~N4?l1vb;9<:182M50m2we:::50;3xL61b3td=;84?:0yK72c<ug<<:7>51zJ03`=zf?=<6=4>{I14a>{i>>21<7?tH25f?xh1?00;6<uG36g8yk00i3:1=vF<7d9~j31e290:wE=8e:m22e=83;pD>9j;|l53a<728qC?:k4}o44a?6=;rB8;h5rn75e>5<6sA9<i6sa69294?4|@:=n7p`98083>7}O;>o0qc872;296~N4?l1vb;6<:181M50m2we:5:50;3xL61b3td=484?:0yK72c<ug<3:7>51zJ03`=zf?2<6=4={I14a>{i>121<7<tH25f?xh1000;6?uG36g8yk0?i3:1>vF<7d9~j3>e2909wE=8e:m2=e=83;pD>9j;|l5<a<728qC?:k4}o4;a?6=9rB8;h5rn7:e>5<6sA9<i6sa68294?7|@:=n7p`99083>4}O;>o0qc862;295~N4?l1vb;7<:182M50m2we:4:50;3xL61b3td=584?:0yK72c<ug<2:7>51zJ03`=zf?3<6=4>{I14a>{i>021<7?tH25f?xh1100;6<uG36g8yk0>i3:1=vF<7d9~j3?e290:wE=8e:m2<e=83;pD>9j;|l5=a<728qC?:k4}o4:a?6=9rB8;h5rn7;e>5<6sA9<i6sa6`294?7|@:=n7p`9a083>4}O;>o0qc8n2;295~N4?l1vb;o<:182M50m2we:l:50;3xL61b3td=m84?:0yK72c<ug<j:7>51zJ03`=zf?k<6=4>{I14a>{i>h21<7?tH25f?xh1i00;6<uG36g8yk0fi3:1=vF<7d9~j3ge290:wE=8e:m2de=83;pD>9j;|l5ea<728qC?:k4}o4ba?6=9rB8;h5rn7ce>5<6sA9<i6sa6c294?4|@:=n7p`9b083>4}O;>o0qc8m2;295~N4?l1vb;l<:182M50m2we:o:50;0xL61b3td=n84?:0yK72c<ug<i:7>52zJ03`=zf?h<6=4={I14a>{i>k21<7<tH25f?xh1j00;6?uG36g8yk0ei3:1>vF<7d9~j3de2909wE=8e:m2ge=838pD>9j;|l5fa<72;qC?:k4}o4aa?6=:rB8;h5rn7`e>5<5sA9<i6sa6b294?4|@:=n7p`9c083>7}O;>o0qc8l2;296~N4?l1vb;m<:181M50m2we:n:50;0xL61b3td=o84?:3yK72c<ug<h:7>52zJ03`=zf?i<6=4={I14a>{i>j21<7<tH25f?xh1k00;6?uG36g8yk0di3:1>vF<7d9~j3ee2909wE=8e:m2fe=838pD>9j;|l5ga<72;qC?:k4}o4`a?6=:rB8;h5rn7ae>5<5sA9<i6sa6e294?4|@:=n7p`9d083>7}O;>o0qc8k2;296~N4?l1vb;j<:181M50m2we:i:50;0xL61b3td=h84?:3yK72c<ug<o:7>52zJ03`=zf?n<6=4>{I14a>{i>m21<7?tH25f?xh1l00;6<uG36g8yk0ci3:1=vF<7d9~j3be290:wE=8e:m2ae=83;pD>9j;|l5`a<728qC?:k4}o4ga?6=9rB8;h5rn7fe>5<6sA9<i6sa6d294?7|@:=n7p`9e083>4}O;>o0qc8j2;295~N4?l1vb;k<:182M50m2we:h:50;3xL61b3td=i84?:0yK72c<ug<n:7>51zJ03`=zf?o<6=4>{I14a>{i>l21<7?tH25f?xh1m00;6<uG36g8yk0bi3:1=vF<7d9~j3ce290:wE=8e:m2`e=83;pD>9j;|l5aa<728qC?:k4}o4fa?6=9rB8;h5rn7ge>5<6sA9<i6sa6g294?7|@:=n7p`9f083>4}O;>o0qc8i2;295~N4?l1vb;h<:182M50m2we:k:50;3xL61b3td=j84?:0yK72c<ug<m:7>51zJ03`=zf?l<6=4={I14a>{i>o21<7<tH25f?xh1n00;6?uG36g8yk0ai3:1>vF<7d9~j3`e2909wE=8e:m2ce=838pD>9j;|l5ba<72;qC?:k4}o4ea?6=:rB8;h5rn7de>5<5sA9<i6sa71294?4|@:=n7p`80083>7}O;>o0qc9?2;296~N4?l1vb:><:181M50m2we;=:50;0xL61b3td<<84?:3yK72c<ug=;:7>52zJ03`=zf>:<6=4={I14a>{i?921<7<tH25f?xh0800;6?uG36g8yk17i3:1>vF<7d9~j26e2909wE=8e:m35e=838pD>9j;|l44a<72;qC?:k4}o53a?6=:rB8;h5rn62e>5<5sA9<i6sa70294?4|@:=n7p`81083>7}O;>o0qc9>2;296~N4?l1vb:?<:181M50m2we;<:50;0xL61b3td<=84?:3yK72c<ug=::7>52zJ03`=zf>;<6=4={I14a>{i?821<7?tH25f?xh0900;6<uG36g8yk16i3:1=vF<7d9~j27e290:wE=8e:m34e=83;pD>9j;|l45a<728qC?:k4}o52a?6=9rB8;h5rn63e>5<6sA9<i6sa73294?7|@:=n7p`82083>4}O;>o0qc9=2;295~N4?l1vb:<<:182M50m2we;?:50;3xL61b3td<>84?:0yK72c<ug=9:7>51zJ03`=zf>8<6=4>{I14a>{i?;21<7?tH25f?xh0:00;6<uG36g8yk15i3:1=vF<7d9~j24e290:wE=8e:m37e=83;pD>9j;|l46a<728qC?:k4}o51a?6=9rB8;h5rn60e>5<6sA9<i6sa72294?7|@:=n7p`83083>4}O;>o0qc9<2;295~N4?l1vb:=<:182M50m2we;>:50;3xL61b3td<?84?:0yK72c<ug=8:7>51zJ03`=zf>9<6=4>{I14a>{i?:21<7?tH25f?xh0;00;6<uG36g8yk14i3:1=vF<7d9~j25e290:wE=8e:m36e=83;pD>9j;|l47a<728qC?:k4}o50a?6=9rB8;h5rn61e>5<6sA9<i6sa75294?7|@:=n7p`84083>4}O;>o0qc9;2;295~N4?l1vb::<:182M50m2we;9:50;3xL61b3td<884?:0yK72c<ug=?:7>51zJ03`=zf>><6=4>{I14a>{i?=21<7?tH25f?xh0<00;6<uG36g8yk13i3:1=vF<7d9~j22e290:wE=8e:m31e=83;pD>9j;|l40a<728qC?:k4}o57a?6=9rB8;h5rn66e>5<6sA9<i6sa74294?7|@:=n7p`85083>4}O;>o0qc9:2;295~N4?l1vb:;<:182M50m2we;8:50;3xL61b3td<984?:0yK72c<ug=>:7>51zJ03`=zf>?<6=4>{I14a>{i?<21<7?tH25f?xh0=00;6<uG36g8yk12i3:1=vF<7d9~j23e290:wE=8e:m30e=83;pD>9j;|l41a<728qC?:k4}o56a?6=9rB8;h5rn67e>5<6sA9<i6sa77294?4|@:=n7p`86083>7}O;>o0qc992;296~N4?l1vb:8<:182M50m2we;;:50;3xL61b3td<:84?:0yK72c<ug==:7>51zJ03`=zf><<6=4>{I14a>{i??21<7?tH25f?xh0>00;6<uG36g8yk11i3:1=vF<7d9~j20e290:wE=8e:m33e=83;pD>9j;|l42a<728qC?:k4}o55a?6=9rB8;h5rn64e>5<6sA9<i6sa76294?7|@:=n7p`87083>4}O;>o0qc982;295~N4?l1vb:9<:182M50m2we;::50;3xL61b3td<;84?:0yK72c<ug=<:7>51zJ03`=zf>=<6=4>{I14a>{i?>21<7?tH25f?xh0?00;6<uG36g8yk10i3:1=vF<7d9~j21e290:wE=8e:m32e=83;pD>9j;|l43a<728qC?:k4}o54a?6=9rB8;h5rn65e>5<6sA9<i6sa79294?7|@:=n7p`88083>4}O;>o0qc972;295~N4?l1vb:6<:182M50m2we;5:50;3xL61b3td<484?:0yK72c<ug=3:7>51zJ03`=zf>2<6=4>{I14a>{i?121<7?tH25f?xh0000;6<uG36g8yk1?i3:1=vF<7d9~j2>e290:wE=8e:m3=e=83;pD>9j;|l4<a<728qC?:k4}o5;a?6=9rB8;h5rn3f7>5<6sA9<i6sr}|BCG~>fn3h84?7739CDG}7uIJ[wpNO
\ No newline at end of file
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2014 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file rx_channel_fifo.vhd when simulating
-- the core, rx_channel_fifo. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY rx_channel_fifo IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END rx_channel_fifo;
ARCHITECTURE rx_channel_fifo_a OF rx_channel_fifo IS
-- synthesis translate_off
COMPONENT wrapped_rx_channel_fifo
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_rx_channel_fifo USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 0,
c_count_type => 0,
c_data_count_width => 6,
c_default_value => "BlankString",
c_din_width => 32,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 32,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "spartan6",
c_full_flags_rst_val => 1,
c_has_almost_empty => 0,
c_has_almost_full => 0,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 0,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 0,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 2,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 1,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 0,
c_preload_regs => 1,
c_prim_fifo_type => "512x36",
c_prog_empty_thresh_assert_val => 4,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 5,
c_prog_empty_type => 0,
c_prog_empty_type_axis => 0,
c_prog_empty_type_rach => 0,
c_prog_empty_type_rdch => 0,
c_prog_empty_type_wach => 0,
c_prog_empty_type_wdch => 0,
c_prog_empty_type_wrch => 0,
c_prog_full_thresh_assert_val => 63,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 62,
c_prog_full_type => 0,
c_prog_full_type_axis => 0,
c_prog_full_type_rach => 0,
c_prog_full_type_rdch => 0,
c_prog_full_type_wach => 0,
c_prog_full_type_wdch => 0,
c_prog_full_type_wrch => 0,
c_rach_type => 0,
c_rd_data_count_width => 6,
c_rd_depth => 64,
c_rd_freq => 1,
c_rd_pntr_width => 6,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
c_synchronizer_stage => 2,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 0,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 6,
c_wr_depth => 64,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 6,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_rx_channel_fifo
PORT MAP (
rst => rst,
wr_clk => wr_clk,
rd_clk => rd_clk,
din => din,
wr_en => wr_en,
rd_en => rd_en,
dout => dout,
full => full,
empty => empty
);
-- synthesis translate_on
END rx_channel_fifo_a;
##############################################################
#
# Xilinx Core Generator version 14.7
# Date: Fri Nov 21 12:33:54 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:9.3
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET aruser_width=1
CSET awuser_width=1
CSET axi_address_width=32
CSET axi_data_width=64
CSET axi_type=AXI4_Stream
CSET axis_type=FIFO
CSET buser_width=1
CSET clock_enable_type=Slave_Interface_Clock_Enable
CSET clock_type_axi=Common_Clock
CSET component_name=rx_channel_fifo
CSET data_count=false
CSET data_count_width=6
CSET disable_timing_violations=false
CSET disable_timing_violations_axi=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=4
CSET empty_threshold_assert_value_axis=1022
CSET empty_threshold_assert_value_rach=1022
CSET empty_threshold_assert_value_rdch=1022
CSET empty_threshold_assert_value_wach=1022
CSET empty_threshold_assert_value_wdch=1022
CSET empty_threshold_assert_value_wrch=1022
CSET empty_threshold_negate_value=5
CSET enable_aruser=false
CSET enable_awuser=false
CSET enable_buser=false
CSET enable_common_overflow=false
CSET enable_common_underflow=false
CSET enable_data_counts_axis=false
CSET enable_data_counts_rach=false
CSET enable_data_counts_rdch=false
CSET enable_data_counts_wach=false
CSET enable_data_counts_wdch=false
CSET enable_data_counts_wrch=false
CSET enable_ecc=false
CSET enable_ecc_axis=false
CSET enable_ecc_rach=false
CSET enable_ecc_rdch=false
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
CSET enable_ruser=false
CSET enable_tdata=false
CSET enable_tdest=false
CSET enable_tid=false
CSET enable_tkeep=false
CSET enable_tlast=false
CSET enable_tready=true
CSET enable_tstrobe=false
CSET enable_tuser=false
CSET enable_write_channel=false
CSET enable_wuser=false
CSET fifo_application_type_axis=Data_FIFO
CSET fifo_application_type_rach=Data_FIFO
CSET fifo_application_type_rdch=Data_FIFO
CSET fifo_application_type_wach=Data_FIFO
CSET fifo_application_type_wdch=Data_FIFO
CSET fifo_application_type_wrch=Data_FIFO
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET fifo_implementation_axis=Common_Clock_Block_RAM
CSET fifo_implementation_rach=Common_Clock_Block_RAM
CSET fifo_implementation_rdch=Common_Clock_Block_RAM
CSET fifo_implementation_wach=Common_Clock_Block_RAM
CSET fifo_implementation_wdch=Common_Clock_Block_RAM
CSET fifo_implementation_wrch=Common_Clock_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=63
CSET full_threshold_assert_value_axis=1023
CSET full_threshold_assert_value_rach=1023
CSET full_threshold_assert_value_rdch=1023
CSET full_threshold_assert_value_wach=1023
CSET full_threshold_assert_value_wdch=1023
CSET full_threshold_assert_value_wrch=1023
CSET full_threshold_negate_value=62
CSET id_width=4
CSET inject_dbit_error=false
CSET inject_dbit_error_axis=false
CSET inject_dbit_error_rach=false
CSET inject_dbit_error_rdch=false
CSET inject_dbit_error_wach=false
CSET inject_dbit_error_wdch=false
CSET inject_dbit_error_wrch=false
CSET inject_sbit_error=false
CSET inject_sbit_error_axis=false
CSET inject_sbit_error_rach=false
CSET inject_sbit_error_rdch=false
CSET inject_sbit_error_wach=false
CSET inject_sbit_error_wdch=false
CSET inject_sbit_error_wrch=false
CSET input_data_width=32
CSET input_depth=64
CSET input_depth_axis=1024
CSET input_depth_rach=16
CSET input_depth_rdch=1024
CSET input_depth_wach=16
CSET input_depth_wdch=1024
CSET input_depth_wrch=16
CSET interface_type=Native
CSET output_data_width=32
CSET output_depth=64
CSET overflow_flag=false
CSET overflow_flag_axi=false
CSET overflow_sense=Active_High
CSET overflow_sense_axi=Active_High
CSET performance_options=First_Word_Fall_Through
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold
CSET programmable_full_type=No_Programmable_Full_Threshold
CSET programmable_full_type_axis=No_Programmable_Full_Threshold
CSET programmable_full_type_rach=No_Programmable_Full_Threshold
CSET programmable_full_type_rdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wach=No_Programmable_Full_Threshold
CSET programmable_full_type_wdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wrch=No_Programmable_Full_Threshold
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=6
CSET register_slice_mode_axis=Fully_Registered
CSET register_slice_mode_rach=Fully_Registered
CSET register_slice_mode_rdch=Fully_Registered
CSET register_slice_mode_wach=Fully_Registered
CSET register_slice_mode_wdch=Fully_Registered
CSET register_slice_mode_wrch=Fully_Registered
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET synchronization_stages=2
CSET synchronization_stages_axi=2
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
CSET tkeep_width=4
CSET tstrb_width=4
CSET tuser_width=4
CSET underflow_flag=false
CSET underflow_flag_axi=false
CSET underflow_sense=Active_High
CSET underflow_sense_axi=Active_High
CSET use_clock_enable=false
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET wach_type=FIFO
CSET wdch_type=FIFO
CSET wrch_type=FIFO
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=6
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-11-19T12:39:56Z
# END Extra information
GENERATE
# CRC: a2170a63
This source diff could not be displayed because it is too large. You can view the blob instead.
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2014 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file tx_fifo.vhd when simulating
-- the core, tx_fifo. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY tx_fifo IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC
);
END tx_fifo;
ARCHITECTURE tx_fifo_a OF tx_fifo IS
-- synthesis translate_off
COMPONENT wrapped_tx_fifo
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_tx_fifo USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 0,
c_count_type => 0,
c_data_count_width => 10,
c_default_value => "BlankString",
c_din_width => 32,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 32,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "spartan6",
c_full_flags_rst_val => 1,
c_has_almost_empty => 0,
c_has_almost_full => 0,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 0,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 0,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 2,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 1,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 0,
c_preload_regs => 1,
c_prim_fifo_type => "1kx36",
c_prog_empty_thresh_assert_val => 4,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 5,
c_prog_empty_type => 0,
c_prog_empty_type_axis => 0,
c_prog_empty_type_rach => 0,
c_prog_empty_type_rdch => 0,
c_prog_empty_type_wach => 0,
c_prog_empty_type_wdch => 0,
c_prog_empty_type_wrch => 0,
c_prog_full_thresh_assert_val => 1023,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 1022,
c_prog_full_type => 1,
c_prog_full_type_axis => 0,
c_prog_full_type_rach => 0,
c_prog_full_type_rdch => 0,
c_prog_full_type_wach => 0,
c_prog_full_type_wdch => 0,
c_prog_full_type_wrch => 0,
c_rach_type => 0,
c_rd_data_count_width => 10,
c_rd_depth => 1024,
c_rd_freq => 1,
c_rd_pntr_width => 10,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
c_synchronizer_stage => 2,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 0,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 10,
c_wr_depth => 1024,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 10,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_tx_fifo
PORT MAP (
rst => rst,
wr_clk => wr_clk,
rd_clk => rd_clk,
din => din,
wr_en => wr_en,
rd_en => rd_en,
dout => dout,
full => full,
empty => empty,
prog_full => prog_full
);
-- synthesis translate_on
END tx_fifo_a;
##############################################################
#
# Xilinx Core Generator version 14.7
# Date: Mon Sep 29 13:10:04 2014
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:9.3
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET aruser_width=1
CSET awuser_width=1
CSET axi_address_width=32
CSET axi_data_width=64
CSET axi_type=AXI4_Stream
CSET axis_type=FIFO
CSET buser_width=1
CSET clock_enable_type=Slave_Interface_Clock_Enable
CSET clock_type_axi=Common_Clock
CSET component_name=tx_fifo
CSET data_count=false
CSET data_count_width=10
CSET disable_timing_violations=false
CSET disable_timing_violations_axi=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=4
CSET empty_threshold_assert_value_axis=1022
CSET empty_threshold_assert_value_rach=1022
CSET empty_threshold_assert_value_rdch=1022
CSET empty_threshold_assert_value_wach=1022
CSET empty_threshold_assert_value_wdch=1022
CSET empty_threshold_assert_value_wrch=1022
CSET empty_threshold_negate_value=5
CSET enable_aruser=false
CSET enable_awuser=false
CSET enable_buser=false
CSET enable_common_overflow=false
CSET enable_common_underflow=false
CSET enable_data_counts_axis=false
CSET enable_data_counts_rach=false
CSET enable_data_counts_rdch=false
CSET enable_data_counts_wach=false
CSET enable_data_counts_wdch=false
CSET enable_data_counts_wrch=false
CSET enable_ecc=false
CSET enable_ecc_axis=false
CSET enable_ecc_rach=false
CSET enable_ecc_rdch=false
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
CSET enable_ruser=false
CSET enable_tdata=false
CSET enable_tdest=false
CSET enable_tid=false
CSET enable_tkeep=false
CSET enable_tlast=false
CSET enable_tready=true
CSET enable_tstrobe=false
CSET enable_tuser=false
CSET enable_write_channel=false
CSET enable_wuser=false
CSET fifo_application_type_axis=Data_FIFO
CSET fifo_application_type_rach=Data_FIFO
CSET fifo_application_type_rdch=Data_FIFO
CSET fifo_application_type_wach=Data_FIFO
CSET fifo_application_type_wdch=Data_FIFO
CSET fifo_application_type_wrch=Data_FIFO
CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET fifo_implementation_axis=Common_Clock_Block_RAM
CSET fifo_implementation_rach=Common_Clock_Block_RAM
CSET fifo_implementation_rdch=Common_Clock_Block_RAM
CSET fifo_implementation_wach=Common_Clock_Block_RAM
CSET fifo_implementation_wdch=Common_Clock_Block_RAM
CSET fifo_implementation_wrch=Common_Clock_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=1023
CSET full_threshold_assert_value_axis=1023
CSET full_threshold_assert_value_rach=1023
CSET full_threshold_assert_value_rdch=1023
CSET full_threshold_assert_value_wach=1023
CSET full_threshold_assert_value_wdch=1023
CSET full_threshold_assert_value_wrch=1023
CSET full_threshold_negate_value=1022
CSET id_width=4
CSET inject_dbit_error=false
CSET inject_dbit_error_axis=false
CSET inject_dbit_error_rach=false
CSET inject_dbit_error_rdch=false
CSET inject_dbit_error_wach=false
CSET inject_dbit_error_wdch=false
CSET inject_dbit_error_wrch=false
CSET inject_sbit_error=false
CSET inject_sbit_error_axis=false
CSET inject_sbit_error_rach=false
CSET inject_sbit_error_rdch=false
CSET inject_sbit_error_wach=false
CSET inject_sbit_error_wdch=false
CSET inject_sbit_error_wrch=false
CSET input_data_width=32
CSET input_depth=1024
CSET input_depth_axis=1024
CSET input_depth_rach=16
CSET input_depth_rdch=1024
CSET input_depth_wach=16
CSET input_depth_wdch=1024
CSET input_depth_wrch=16
CSET interface_type=Native
CSET output_data_width=32
CSET output_depth=1024
CSET overflow_flag=false
CSET overflow_flag_axi=false
CSET overflow_sense=Active_High
CSET overflow_sense_axi=Active_High
CSET performance_options=First_Word_Fall_Through
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold
CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant
CSET programmable_full_type_axis=No_Programmable_Full_Threshold
CSET programmable_full_type_rach=No_Programmable_Full_Threshold
CSET programmable_full_type_rdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wach=No_Programmable_Full_Threshold
CSET programmable_full_type_wdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wrch=No_Programmable_Full_Threshold
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=10
CSET register_slice_mode_axis=Fully_Registered
CSET register_slice_mode_rach=Fully_Registered
CSET register_slice_mode_rdch=Fully_Registered
CSET register_slice_mode_wach=Fully_Registered
CSET register_slice_mode_wdch=Fully_Registered
CSET register_slice_mode_wrch=Fully_Registered
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET synchronization_stages=2
CSET synchronization_stages_axi=2
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
CSET tkeep_width=4
CSET tstrb_width=4
CSET tuser_width=4
CSET underflow_flag=false
CSET underflow_flag_axi=false
CSET underflow_sense=Active_High
CSET underflow_sense_axi=Active_High
CSET use_clock_enable=false
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET wach_type=FIFO
CSET wdch_type=FIFO
CSET wrch_type=FIFO
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=10
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-11-19T12:39:56Z
# END Extra information
GENERATE
# CRC: b753c3c5
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment