Commit 53e21cbf authored by Dimitris Lampridis's avatar Dimitris Lampridis

Merge tag 'v1.4.7' into develop

[1.4.7] 2020-01-15
============
Added
-----
- [hdl] Add support for DDR5 bank to SVEC base

Fixed
-----
- [hdl] DDR constraints
- [hdl] DDR controller generic values are now properly capitalised
- [sw] Update svec-flasher to work with new type of flash memory used in newer SVEC boards
parents 697602d1 ce6b58a3
......@@ -2,7 +2,7 @@
Change Log
==========
[unreleased]
[1.4.7] 2020-01-15
============
Added
-----
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment