channel: disable ring oscillator on reset

parent ff408591
...@@ -12,6 +12,7 @@ ...@@ -12,6 +12,7 @@
-- --
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- last changes: -- last changes:
-- 2011-10-25 SB Disable ring oscillator on reset
-- 2011-08-03 SB Created file -- 2011-08-03 SB Created file
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
...@@ -98,6 +99,7 @@ signal raw : std_logic_vector(g_RAW_COUNT-1 downto 0); ...@@ -98,6 +99,7 @@ signal raw : std_logic_vector(g_RAW_COUNT-1 downto 0);
signal raw_d1 : std_logic_vector(g_RAW_COUNT-1 downto 0); signal raw_d1 : std_logic_vector(g_RAW_COUNT-1 downto 0);
signal raw_d2 : std_logic_vector(g_RAW_COUNT-1 downto 0); signal raw_d2 : std_logic_vector(g_RAW_COUNT-1 downto 0);
signal lut : std_logic_vector(g_FP_COUNT-1 downto 0); signal lut : std_logic_vector(g_FP_COUNT-1 downto 0);
signal ro_en : std_logic;
begin begin
-- register calibration select signal to avoid glitches -- register calibration select signal to avoid glitches
process(clk_i) process(clk_i)
...@@ -166,9 +168,10 @@ begin ...@@ -166,9 +168,10 @@ begin
g_LENGTH => g_RO_LENGTH g_LENGTH => g_RO_LENGTH
) )
port map( port map(
en_i => ro_en_i, en_i => ro_en,
clk_o => ro_clk_o clk_o => ro_clk_o
); );
ro_en <= ro_en_i and not reset_i;
detect_d1 <= polarity_d1 xor polarity_d2; detect_d1 <= polarity_d1 xor polarity_d2;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment