demo: enable terminations on FMC DIO

parent 9eb478ac
......@@ -37,6 +37,7 @@ module system(
output test_clk_p,
output test_clk_n,
output [1:0] tdc_signal_oe_n,
output [1:0] tdc_signal_term_en,
input [1:0] tdc_signal_p,
input [1:0] tdc_signal_n
);
......@@ -521,12 +522,14 @@ OBUFDS obuf_test_clk(
);
assign tdc_signal_oe_n[0] = 1'b1;
assign tdc_signal_term_en[0] = 1'b1;
IBUFDS ibuf_tdc_signal0(
.I(tdc_signal_p[0]),
.IB(tdc_signal_n[0]),
.O(tdc_signal[0])
);
assign tdc_signal_oe_n[1] = 1'b1;
assign tdc_signal_term_en[1] = 1'b1;
IBUFDS ibuf_tdc_signal1(
.I(tdc_signal_p[1]),
.IB(tdc_signal_n[1]),
......
......@@ -27,10 +27,12 @@ NET "test_clk_p" LOC = W17 | IOSTANDARD = "LVDS_25";
NET "test_clk_n" LOC = Y18 | IOSTANDARD = "LVDS_25";
NET "tdc_signal_oe_n[0]" LOC = Y14 | IOSTANDARD = "LVCMOS25";
NET "tdc_signal_term_en[0]" LOC = AB5 | IOSTANDARD = "LVCMOS25";
NET "tdc_signal_p[0]" LOC = R11 | IOSTANDARD = "LVDS_25";
NET "tdc_signal_n[0]" LOC = T11 | IOSTANDARD = "LVDS_25";
NET "tdc_signal_oe_n[1]" LOC = W11 | IOSTANDARD = "LVCMOS25";
NET "tdc_signal_term_en[1]" LOC = AB6 | IOSTANDARD = "LVCMOS25";
NET "tdc_signal_p[1]" LOC = W12 | IOSTANDARD = "LVDS_25";
NET "tdc_signal_n[1]" LOC = Y12 | IOSTANDARD = "LVDS_25";
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment