Per-channel processing: calibration signal input

parent 00f066ac
...@@ -39,6 +39,8 @@ entity tdc_channel is ...@@ -39,6 +39,8 @@ entity tdc_channel is
-- Signal input. -- Signal input.
signal_i : in std_logic; signal_i : in std_logic;
calib_i : in std_logic;
calib_sel_i : in std_logic;
-- Detection outputs. -- Detection outputs.
detect_o : out std_logic; detect_o : out std_logic;
...@@ -55,10 +57,14 @@ entity tdc_channel is ...@@ -55,10 +57,14 @@ entity tdc_channel is
end entity; end entity;
architecture rtl of tdc_channel is architecture rtl of tdc_channel is
signal muxed_signal : std_logic;
signal taps : std_logic_vector(4*g_CARRY4_COUNT-1 downto 0); signal taps : std_logic_vector(4*g_CARRY4_COUNT-1 downto 0);
signal polarity, polarity_d1 : std_logic; signal polarity, polarity_d1 : std_logic;
signal raw : std_logic_vector(g_RAW_COUNT-1 downto 0); signal raw : std_logic_vector(g_RAW_COUNT-1 downto 0);
begin begin
with signal_i select
muxed_signal <= calib_i when '1', signal_i when others;
cmp_delayline: tdc_delayline cmp_delayline: tdc_delayline
generic map( generic map(
g_WIDTH => g_CARRY4_COUNT g_WIDTH => g_CARRY4_COUNT
...@@ -66,7 +72,7 @@ begin ...@@ -66,7 +72,7 @@ begin
port map( port map(
clk_i => clk_i, clk_i => clk_i,
reset_i => reset_i, reset_i => reset_i,
signal_i => signal_i, signal_i => muxed_signal,
taps_o => taps taps_o => taps
); );
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment