cosmetic

parent a469e510
......@@ -21,6 +21,9 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.tdc_package.all;
entity tdc_divider is
generic(
-- Number of bits of the operands and results.
......
......@@ -2,7 +2,7 @@
-- TDC Core / CERN
-------------------------------------------------------------------------------
--
-- unit name: tb_freqc
-- unit name: tb_divider
--
-- author: Sebastien Bourdeauducq, sebastien@milkymist.org
--
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment