Commit 593dbebd authored by Tristan Gingold's avatar Tristan Gingold

vme_irq_controller: rename reset_n_i to rst_n_i

parent 0343da4d
...@@ -118,4 +118,4 @@ ...@@ -118,4 +118,4 @@
---------------------------- ----------------------------
- general-cores submodule in wrong location. Move it from hdl/sim to - general-cores submodule in wrong location. Move it from hdl/sim to
ip_cores/general-cores like we have for all the other repos. ip_cores/general-cores like we have for all the other repos.
Cf Maciej. Done. Cf Maciej.
...@@ -133,7 +133,7 @@ entity vme_irq_controller is ...@@ -133,7 +133,7 @@ entity vme_irq_controller is
); );
port ( port (
clk_i : in std_logic; clk_i : in std_logic;
reset_n_i : in std_logic; rst_n_i : in std_logic;
INT_Level_i : in std_logic_vector (2 downto 0); INT_Level_i : in std_logic_vector (2 downto 0);
INT_Req_i : in std_logic; INT_Req_i : in std_logic;
irq_pending_o : out std_logic; irq_pending_o : out std_logic;
...@@ -159,7 +159,7 @@ begin ...@@ -159,7 +159,7 @@ begin
p_retry_fsm : process (clk_i) p_retry_fsm : process (clk_i)
begin begin
if rising_edge(clk_i) then if rising_edge(clk_i) then
if reset_n_i = '0' then if rst_n_i = '0' then
retry_mask <= '1'; retry_mask <= '1';
retry_state <= WAIT_IRQ; retry_state <= WAIT_IRQ;
else else
...@@ -190,7 +190,7 @@ begin ...@@ -190,7 +190,7 @@ begin
p_main_fsm : process (clk_i) p_main_fsm : process (clk_i)
begin begin
if rising_edge(clk_i) then if rising_edge(clk_i) then
if reset_n_i = '0' then if rst_n_i = '0' then
VME_IRQ_n_o <= (others => '1'); VME_IRQ_n_o <= (others => '1');
s_irq_pending <= '0'; s_irq_pending <= '0';
else else
......
...@@ -431,7 +431,7 @@ begin ...@@ -431,7 +431,7 @@ begin
) )
port map ( port map (
clk_i => clk_i, clk_i => clk_i,
reset_n_i => s_reset_n, -- asserted when low rst_n_i => s_reset_n, -- asserted when low
INT_Level_i => s_irq_level, INT_Level_i => s_irq_level,
INT_Req_i => wb_i.int, INT_Req_i => wb_i.int,
irq_pending_o => s_irq_pending, irq_pending_o => s_irq_pending,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment