Commit 61bd09b6 authored by Dimitris Lampridis's avatar Dimitris Lampridis

Include general-cores package in VME64xCore_Top.vhd for gc_sync_register

parent c280586a
......@@ -107,6 +107,7 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.vme64x_pack.all;
use work.gencores_pkg.all;
entity VME64xCore_Top is
generic (
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment