Commit 84da9bdd authored by Tristan Gingold's avatar Tristan Gingold

top_tb: add entity name after 'end' for the sake of hdlmake.

parent 366ca4db
......@@ -31,7 +31,7 @@
entity top_tb is
generic (g_SCENARIO : natural range 0 to 9 := 6);
end;
end top_tb;
library ieee;
use ieee.std_logic_1164.all;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment