Commit 9a4a5b11 authored by Tristan Gingold's avatar Tristan Gingold

simple_tb: improve run_all.sh

parent d9342f87
......@@ -6,7 +6,7 @@ GHDL=${GHDL:-ghdl}
for i in 1 2 3 4 5 6 7 8 9; do
echo
echo "Scenario $i"
$GHDL -r --ieee=synopsys top_tb -gg_scenario=$i --stop-time=5us --assert-level=error | tee sim.log
$GHDL -r --ieee=synopsys top_tb -gg_scenario=$i --stop-time=5us --assert-level=error --ieee-asserts=disable-at-0 | tee sim.log
if [ $? != 0 ]; then
echo "Simulation failed!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"
exit 1
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment