• Maciej Lipinski's avatar
    [softpll] Fix clk_ext_mul_i input when g_num_exts=0 · 462beb7c
    Maciej Lipinski authored
    When g_num_exts=0, the input of xwr_softpll_ng
    clk_ext_mul_i        : in std_logic_vector(g_num_exts-1 downto 0);
    was of wrong range, i.e. std_logic_vector(-1 to 0).
    
    Function f_nonzero_vector() was added to generate
    std_logic_vector(0 to 0) in the case when g_num_exts=0.
    462beb7c