Commit 0244a1ae authored by Miguel Jimenez Lopez's avatar Miguel Jimenez Lopez Committed by Grzegorz Daniluk

wr_nic_wrapper: Fix indentation.

parent ad115ce1
...@@ -70,7 +70,7 @@ package wr_nic_wrapper_pkg is ...@@ -70,7 +70,7 @@ package wr_nic_wrapper_pkg is
rtu_rsp_ack_i : in std_logic; rtu_rsp_ack_i : in std_logic;
wb_i : in t_wishbone_slave_in; wb_i : in t_wishbone_slave_in;
wb_o : out t_wishbone_slave_out; wb_o : out t_wishbone_slave_out;
int_o: out std_logic; int_o : out std_logic;
rmon_events_o : out std_logic_vector(g_port_mask_bits*g_rmon_events_pp-1 downto 0)); rmon_events_o : out std_logic_vector(g_port_mask_bits*g_rmon_events_pp-1 downto 0));
end component; end component;
...@@ -189,9 +189,9 @@ package wr_nic_wrapper_pkg is ...@@ -189,9 +189,9 @@ package wr_nic_wrapper_pkg is
-- Crossbar memory layout -- Crossbar memory layout
constant c_nic_wrapper_xbar_layout : t_sdb_record_array(c_NIC_WRAPPER_XBAR_NUM_SLAVES-1 downto 0) := ( constant c_nic_wrapper_xbar_layout : t_sdb_record_array(c_NIC_WRAPPER_XBAR_NUM_SLAVES-1 downto 0) := (
c_NIC_WRAPPER_XBAR_SLAVE_NIC => f_sdb_embed_device(c_xwr_nic_sdb , x"00000000"), c_NIC_WRAPPER_XBAR_SLAVE_NIC => f_sdb_embed_device(c_xwr_nic_sdb, x"00000000"),
c_NIC_WRAPPER_XBAR_SLAVE_VIC => f_sdb_embed_device(c_xwb_vic_sdb , x"00010000"), c_NIC_WRAPPER_XBAR_SLAVE_VIC => f_sdb_embed_device(c_xwb_vic_sdb, x"00010000"),
c_NIC_WRAPPER_XBAR_SLAVE_TXTSU => f_sdb_embed_device(c_xwr_txtsu_sdb , x"00010100") c_NIC_WRAPPER_XBAR_SLAVE_TXTSU => f_sdb_embed_device(c_xwr_txtsu_sdb, x"00010100")
); );
-- Crossbar SDB entry address -- Crossbar SDB entry address
constant c_nic_wrapper_xbar_sdb_address : t_wishbone_address := x"00011000"; constant c_nic_wrapper_xbar_sdb_address : t_wishbone_address := x"00011000";
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment